Paper
20 May 2004 Shrink assist film for enhanced resolution (SAFIER) process for printing of 20-nm trench with high aspect ratio
XiaoMin Yang, Harold Gentile
Author Affiliations +
Abstract
SAFIERTM process has been applied to electron beam lithography for the fabrication of the write pole for thin film heads (TFH). The SAFIER process is a physical shrinkage process designed to shrink trench patterns and contact holes with very little deterioration of the profile. This process also improves line edge roughness (LER). In this paper, we will present the experimental results about our evaluation of the SAFIER process for write pole process. To understand the SAFIER process, our evaluation will focus on the following key processing issues: 1) SAFIER resolution capability; 2) repetition of the SAFIER process; 3) process optimization for the minimum CD variation; 4) resist sidewall profile; and 5) LER with the SAFIER process. We demonstrate the capability of printing narrow write pole trench patterns with a critical dimension (CD) of 20 nm in 0.30 μm resist (aspect ratio=15:1) and a CD of 30 nm in 0.60 μm resist (aspect ratio=20:1) using the electron beam SAFIER process.
© (2004) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
XiaoMin Yang and Harold Gentile "Shrink assist film for enhanced resolution (SAFIER) process for printing of 20-nm trench with high aspect ratio", Proc. SPIE 5374, Emerging Lithographic Technologies VIII, (20 May 2004); https://doi.org/10.1117/12.535647
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication and 2 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photoresist processing

Critical dimension metrology

Line edge roughness

Image processing

Cadmium

Scanning electron microscopy

Semiconducting wafers

Back to Top