PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
Progress in optical lithography continues to pace the development of high speed microprocessors and high density DRAM and flash memories. Continuing progress by optical lithographers has allowed low-cost, volume manufacturing of sub-0.25 micron, high density CMOS devices. With stunning success, entire computer systems are now being placed on a single chip, enabling new, advanced technologies for computation, communications, and entertainment to flourish. This paper outlines the requirements of lithography envisioned in the National Technology Roadmap for Semiconductors currently being renewed for 1997 publication. A possible path for the evolution of optical lithography to 180 nm, and then to 130 nm, is mapped out, allowing promising technologies such as 1X proximity x-ray, extreme ultraviolet projection (EUV), scanning projection electron beam (SCALPEL), or ion projection (IPL) to mature in time to address 100 nm and below.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As device design rules continue to shrink, on-mask Cr structures must experience a corresponding reduction in size. Although 0.25 micrometer design rules require only 1 micrometer Cr features, the use of OPC structures, which may be needed to minimize line foreshortening and corner rounding, necessitate features to be etched into the Cr which are significantly smaller than this. This need, coupled with the demand for reduced CD bias and improved CD uniformities, requires the use of an alternate chrome etch technology. Plasma etching of Cr can be highly anisotropic, greatly reducing the etch under cut which is responsible for the CD bias typically associated with wet etching. Reactive ion etching (RIE) can provide significant enhancements in the capability of replicating micron and sub-micron features, but the Cr etch rate non-uniformity which is typical of this technique can translate into a CD nonuniformity. This is due in part to the relatively high pressure of operation (50 - 100 mTorr which is necessary to reduce the self generated dc voltage And which minimizes the photo resist etch rate. Recently, high density plasma sources, such as inductively coupled plasma (ICP), have become available which have the ability to operate both at low pressures and high plasma density while maintaining a low and controllable dc voltage. The low pressure operation significantly improves the etch rate uniformity and consequently the CD uniformity. In this study a design of experiment (DOE) is used to investigate the parameter space associated with the dry etching of Cr using an ICP source. The responses of Cr etch rate, selectivity to photo resist, CD uniformity and mean CD to target are studied, and from this an optimized parameter space is defined. Within this space the effect of overetch, dc voltage and pattern loading on the CD uniformity are also investigated. The role played by the photo resist profile in determining the Cr etch profile is also studied and preliminary measurements are made to understand the effect of the above parameters on the mask CD bias.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
There seem to be much activities, in the mask-making industry at present, in re-establishing a new proces technique and condition for conventional high-molecular polymer resists such as EBR-9TM series rather than in seeking an alternative. This is because of infeasibility of an alternative such as DNQ-Novolak or chemical amplified resist. And 'thin resist' is a promising option for advanced e-beam reticle fabrication. We had investigated optimum coating thickness as thin resist, baking condition and exposure dose firstly on PBS and ZEP- 7000TM, and reported the results and its efficacy in pattering performance at 16th BACUS annual symposium in '96. This time, we tried to determine the optimum coating conditions for EBR-9, EBR-9 HS31TM and ZEP-810TM, and evaluated patterning performance, by which all major five resists to be covered for a comparison. We examined pre-baking latitude, coating thickness latitude, exposure dose latitude, develop latitude, and pattern linearity and fidelity, under the optimum conditions, in order to see advantages and disadvantages of thin resist coating on each individual resist. This paper describes our investigation results on the optimum condition in coating thickness, pre-baking and exposure dose, as well as comparison results on patterning performance of thin resist coating between major five conventional resists, PBSTM, EBR-9, EBR-9 HS31, ZEP-810 and ZEP-7000, for advanced e-beam reticle fabrication.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have newly designed and constructed a unique electron optical column installed with an in-situ cleaning system, applying the down-flow ashing process with a mixture O2 and CF4. We carried out in-situ cleaning using designed system, and confirmed that beam drift which is caused by charging up of a contamination layer was reduced.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An advanced e-beam mask-writing system HL-800M has been developed for the 0.25-micrometer rule-devices. To meet the design-rule, the targets of this system specifications are critical dimension (CD) control of 30 nm, positioning accuracy of 40 nm, and throughput over 0.5 plate per hour. To achieve CD control, we judged that it was inevitable to increase the acceleration voltage up to 50 kV for patterns smaller than 2 micrometer. However, for patterns larger than 5 micrometer, the e-beam proximity-effect causes the pattern-width linearity to be worse. To achieve the sufficient linearity, proximity correction on the hardware module of the systems was performed. This hardware module executes proximity effect correction for each patterns over the area on the plate, so that total throughput was improved compared with that of the correction by software. Besides, a noise cancellation module was introduced to reduce the errors in the e-beam shot positions. This module detects the vibration noise caused by with the power-supply frequency and feeds the correction signal back to the e-beam deflectors. For positioning accuracy, in addition to the mirror correction using hardware for the stage interferometer, a new positioning-correction function depending on the coordinates of the system was developed. In the results of the exposure evaluations, CD uniformity on a 6025 plate showed width-deviations of 3 sigma were 31 nm (X) and 18 nm (Y). Pattern-width linearities for various kinds of patterns were within plus or minus 50 nm. Furthermore, the noise cancellation module was made the amplitude of the e-beam vibration reduced from 33 nm to less than 8 nm. For positioning accuracy, evaluation patterns measured by the LMS2020 (Leica) showed sufficient results for our target. For throughput, the average of the writing time per 6-inch plate for ten patterns is shorter than our targeted throughput with a dosage of 4 (mu) C/cm2. The HL-800M system is capable of producing reticles for 0.25-micrometer design-rule.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Cleaning of PSM is one of the most important technology for the development and production of quarter micron devices. In cleaning of PSM, it is needed not only to remove the particles on shifter and quartz, but also not to change the phase angle and the transmittance. For this purpose, new technology of PSM cleaning has been developed. Through the cleaning of 'MoSiON- halftone,' effectiveness of our techniques is shown.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The ArF excimer laser keeps 6.4 eV (147 kcal/mol) light energy, which exceeds chemical boding energy for all organic materials. Therefore, in order to obtain light resistance with organic materials, a necessary condition for the membrane material is not to absorb the ArF excimer laser. We calculated the electronic energy levels of various organic molecule structures by using the molecular orbital method. Based on this calculation, we chose polymers which do not absorb the ArF excimer laser. One of these polymers is a fluoropolymer. We estimated this fluoropolymer's longevity by acceleration tests. These tests' results gave us an estimation that, with this fluoropolymer under ArF excimer laser lithography, more than 107 chips could be produced. Also, according to our experiment with the spectrometer, a little absorption of ArF excimer laser was found with the fluoropolymer. We presumed that it is possible to extend the longevity by removing the cause of this absorption.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Optical lithography is still the preferred technology for semiconductor volume production. The wavelength reduction cannot keep up with the pace of decreasing feature sizes. As a result, printing occurs closer and closer to the resolution limit of the projection tools, inducing severe proximity effects. In this paper, an overview of three automated optical proximity correction packages is given. Correction accuracy as well as mask making feasibility are touched upon. An attempt is made to predict the need for OPC in the optical lithography roadmap. It is expected that OPC will be needed to push the 248 nm lithography down to 0.18 micrometer, while it can then further be used to push 193 nm lithography down to 0.13 micrometer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A fast chip-level automatic optical proximity effect correction (OPC) method has been newly developed for use in ULSI device fabrication. The method here is dedicated for random logic application specific IC (ASIC) devices to improve critical dimension control in lithography process with a design rule of 0.25 micrometer and below. The newly developed OPC method applies rule based correction performed on a mask database (database constructed by a mask data format for mask writing tools). Utilizing OPC onto such database can reduce elapsed time to prepare OPCed one chip layout data significantly. Here, in succession, data compaction is performed on the database as well with a has tabled internal data hierarchy. As a result, actual elapsed correction time with data compaction for a 0.25 micrometer ASIC device wiring layer was within 3 hours, and data size after OPC was about 50 Mbytes. In terms of pattern printing accuracy with this OPC, resist edge placement errors were markedly reduced with respect to line end shortening and pattern width variation depending on the adjacent space width. In this paper, the OPC method is described in detail with actual performance applied for 0.25 micrometer ASIC devices.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A hierarchical and interactive mask data design system (PROPHET) has been developed for aerial image simulation, fast subpeak overlap checking of adjacent patterns in attenuated phase-shifting masks, and automatic phase-shifter placement. This system, linked with a layout editor through an added-on menu, allows the designer to perform layout while concurrently considering mask data, taking into account design restrictions imposed by ultra-high resolution technology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Advanced Optical Lithography and Next-Generation Reticle Format
ArF excimer laser lithography which is extension of the next generation optical lithography is a nearer candidate realizing Giga devices with 0.18 micrometer design-rule and beyond. However, it is the present situation compared with KrF excimer laser lithography introducing to mass-production that infrastructure preparation of ArF is too late. To accelerate ArF excimer laser lithography, 3 pole that is Japanese ASET, SEMATECH of USA, Esprit of EU, consortiums have been established in 1995. The broad development system of industry/government/university was regulated by these consortium in semiconductor industry. A time limit of neither consortiums gets the base infrastructure ready by 1998. The target feature-size is same as 0.13 micrometer from 0.18 micrometer. In this paper, technology issues and the final goal specification of ArF excimer laser lithography and the present development situation with ASET is described.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Industry trends indicate that the next generation of exposure tool will be scanning steppers. Scanning steppers have a 25 mm by 33 mm field using 6 inch reticles. For device manufacturing, first generation 256M DRAM chips are roughly 12.5 mm by 25 mm, while 1G DRAM are expected to be 30 mm by 15 mm. As a result, a 25 mm by 33 mm field does not allow the exposure of two or more chips per shot. Therefore introduction of a larger mask is expected. To determine next generation reticle size standard, many factors have been investigated. First, an assumption was made that DRAM chip size trends will remain constant. Then, the throughput of scanning stepper was calculated with 6, 7, 8 and 9 inch reticles. The advantages were dependent on chip generation and device (memory or logic). Finally, a 9 inch reticle standard was chosen. Making the leap to a 9 inch reticle standard avoids the development time and costs of incremental changes in the standard. The thickness of a reticle is dependent upon reticle distortions, projection lens focus error. The deformation of the reticle has been simulated for the 0.25 to 0.5 inch thickness range. The final outcome of these simulations was that 9 mm (approximately 0.35 inch) was selected as the best thickness.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A next reticle format is considered from a view point of photomask fabrication process. In order to determine optimal reticle thickness corresponding to 230 mm size, processes feasibility for the thickness are examined. Cr dry etching is studied in terms of plasma condition and patterning accuracy. It is found that Cr dry etching with accurate critical dimension (CD) control is possible with substrate as thick as 12.7 mm. Post exposure bake (PEB) process for chemically amplified (CA) resists is also examined in terms of CD uniformity on substrates. Relationship of substrate thickness and CD uniformity is estimated with PEB controllability and CA resists characteristics. In addition to this, it is demonstrated that actual temperature uniformity for substrate with a thickness of 9 mm is equivalent to that for a present thickness of 6.35 mm. From these results in overall, it is proposed that substrate thickness of 9 mm is feasible for actual photomask production.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Studies of dry etching in consideration of thicker reticle size which was expected to be adopted for next reticle size were investigated with simulated plates. CD variation due to blanks thickness was evaluated in both of an electron beam and a laser beam reticle process with MoSiON embedded phase shift mask blanks by using a modifying magnetically enhanced RIE system (MEPS-6025) based on a method to predict surface voltage (Fig. 2). As blanks thickness increased up to 12.7 mm, CD variations were changed respectively (Fig. 5 and 6) along with surface bias voltage of blanks in discharge (Fig. 4). It was found that a bias voltage contribution between center and corner of the plate became closer corresponding to thickness of reticles size. Under this condition, 9 mm in thickness was found to be acceptable for dry etching process, because the effect of thickness may make discharge localize near by the substrate surface but any reason to deteriorate CDs could not appear in this feasibility study.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper is intended to cover three issues related to the development of the new pellicles suitable for use with the new generation reticles, namely (1) frame shape and size; (2) frame stand-off (height); and (3) frame thickness, to reflect results and advancements achieved in our recent studies. (1) Frame shape and size: We found that the four-cornered shape best suits our purposes. As for its size, there is already large-size product currently available for LCDs in the marketplace. (2) Frame stand-off (height): While pellicle manufacturers require high stand-off to maintain pellicle strength and ease of handling, mask shop manufacturers and inspection equipment manufacturers prefer lower stand-off, for lesser blind area. Certain users requested that the new inspection equipment be available to check 6' reticles that are currently in use. As a result, the 6.3 mm stand-off combined with the trapezoidal shape was chosen to be best suited to insure wider inspection area. (3) Frame thickness: As the membranae of the pellicle adheres to the frame, the membrane tension towards the inside causes some frame distortion. Our investigation proved that distortions (maximum 0.1 mm) were present in the case of 6' frames. We examined the relationship between the frame thickness and frame distortion. Another problem arises when the pellicle is mounted on a reticle: that is reticle distortions can occur. We found that the distortions can be reduced through the use of a new type of mask adhesive.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We describe some results of exposure experiments using the present prototype SR stepper which Canon has developed and also describe the novel technology development which is necessary to establish the next generation SR stepper for volume production. In the evaluation of the prototype machine, alignment performance, stage accuracy, and printing performance were examined, and we found the SR lithography can be applied to manufacturing devices beyond 0.15 micrometer level. In the technology development for the production machine, we have examined methods related to masks; they are reduction of thermal expansion, suppression out-of-plane displacement of mask membrane, and magnification correction. As a result of the examinations, we have a good perspective in development of a high-throughput SR stepper which is suitable for the production beyond 1 G-bit DRAM.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An opaque film coating and an anti-reflection (AR) film coating of x-ray masks are essential in order to get a higher alignment accuracy in optical heterodyne alignment systems. Not only the optical characteristics of the films, but also the stress and the durability in cleaning processes are important issues for the films. We investigated various materials from the viewpoints of the refractive index-matching with SiC, stress controllability and stability to a strong acid, an we have developed a material suitable for use with a Ta/SiC x-ray mask. We found that a sputtered Zr and Si compound oxide film fulfills the requirements of the AR film. We also found that a sputtered Ta film can be used as the opaque film, and we enhanced the diffraction efficiency of a mask mark by coating the AR film before coating the Ta opaque film on the mask mark.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Stress in Ta films, which are excellent films for x-ray mask absorbers, gradually changes to compressive in air. The characteristics and a way to prevent the variation were investigated. The stress variation increases as pressure during Ta deposition is increased and decreases with increasing Ta thickness. These phenomena can be explained using a previously reported model of oxygen diffusion along the grain boundaries. The stress variation can be prevented by annealing in N2 atmosphere after deposition. A very short annealing is enough to stabilize even Ta film stress with large variation. The annealing causes the stress to shift to compressive, so it allows us to precisely control the stress. The annealing does not affect etching characteristics of the film.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed a new method to manufacture with a high degree of accuracy silicon (Si) stencil mask (or projection mask) which provides stability and is also adaptable to electron beam (EB) cell projection lithography that has a high throughput. The important processes are the technologies of dry etching for forming an aperture pattern, wet etching for forming the membrane pattern area, and the development of metal material that adapts EB lithography. At this time, when each process combines, adaptation is required that does not cause technical problems, and also it is important to consider the stability of the whole process and the necessity to do all processes at as low temperature as possible. We succeed in doing it under at 100 degrees, upon investigation after testing the EB exposure with the stencil mask, which was manufactured by using a productive method that was already developed, it was found to have a resolution under 0.2 micrometer. By development of this, stable fabrication of the stencil mask that was one of the key technology of EB cell projection lithography became practical. Also it was considered that it could contribute to the new generation of ultra-large-scale-integration (ULSI) product technology in the future.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The exposure durability of chromium fluoride (CrF) was evaluated for phase shifter material in ArF excimer laser lithography. The film quality changes after 193 nm light irradiation were measured by using an optical spectroscope, an x-ray photoelectron spectroscopy (XPS), and an atomic force microscope (AFM). The film quality changes, such as decline of transmittance, surface oxidation, and roughness, were occurred in the sample irradiated in air, while little change were observed in nitrogen atmosphere.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Chromium fluoride film was thought to be a promising material as phase-shifting mask in deep-UV region. However, it degrades at humid condition. So we studied the degradation phenomena to propose a degradation mechanism of chromium fluoride films. We used several tools such as x-ray photoelectron spectroscopy (XPS) and Rutherford backscattering spectroscopy (RBS), to investigate how the composition and the chemical state change while the degradation proceeds. As a result, we could find that the degradation mechanism of chromium fluoride films can be described by multiple steps, which includes HF gas evolution, Cr-oxide precipitation, and crack propagation. This phenomena occurred all the range of the composition being applicable to the PSM at 193 nm, 248 nm, 365 nm and 436 nm. So it is required the development of other material or careful treatment of chromium fluoride for PSM applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In hole pattern fabrication using halftone phase-shifting masks (HT-PSM), we found that the spherical aberration has a large influence on the usable depth of focus for the full field (uDOF). Spherical aberration shifts the best-focus position, and the shift is 9 times larger for the HT-PSM than that for a conventional Cr mask. When the variation of the spherical aberration in the exposure field is more than 0.1 lambda, the uDOF of a 0.6-lambda/NA hole pattern using the HT- PSM becomes smaller than that using the conventional Cr mask. To eliminate the influence of the spherical aberration, we investigated the effect of shifting the phase of the HT-PSM from 180 degrees. Based on the results, we developed a new HT- PSM in which a phase distribution varies in accordance with the spherical aberration at each position of the field. We tested the new mask and showed that the new mask improves uDOF and that it is effective in the fabrication of fine hole patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Alternating phase shift masks are being developed for below 0.20 micrometer lithography. Repairing shifter defects is one of key technologies to make them practical. Etched quartz shifter is mostly utilized for KrF excimer laser. Gas assisted etching (GAE) with a focused ion beam has been investigated for reducing Ga stain in repairing quartz defects. This method, however, has difficulties, occurrence of a 'riverbed' and another in end-point-detection, because a defect and the substrate are of the same material. This paper describes a new method of repairing quartz defects. It consists of two steps. In the first step, a defect is etched except the edge region by using GAE before wet etching. Therefore, the edge remains like a wall. In the second step, the edge wall is removed during wet etching. For evaluating the effectiveness of this method, a test plate containing two types of programmed defects, quartz extrusions into clear and quartz bridges, were prepared. After the repair, the printability of the repaired area was evaluated with AIMS simulation. Riverbeds were not observed after the repair and the critical dimension (CD) variation of the repaired area through focus change was within plus or minus 5% around 0.2 micrometer between defocus of plus or minus 0.5 micrometer for 0.2 micrometer lines and spaces. The practicability of our new method is also reported with respect to its allowance for side-wall thickness, that of etching depth and its dependence on defect size.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The characteristics of an ideally repaired opaque defect on a chrome (Cr) photomask are: (1) the total removal of the Cr defect, leaving no residual Cr; (2) a smooth, level quartz surface (no over-etch) after the Cr is removed; (3) minimal riverbedding of the quartz at the perimeter of the Cr defect and (4) maximum light transmission (%T) at the lithographic wavelength. Achieving these ideal repair characteristics is becoming increasingly difficult as the patterned features become smaller, as the lithographic wavelength becomes shorter and as phase shifting mechanisms are implemented. A chemical process has been developed to enhance the FIB (focused ion beam) etching of Cr defects. This chemical process enhances the FIB removal of a Cr defect 2.0 - 2.2 fold while inhibiting the removal of quartz by 60 - 80%. AFM (atomic force microscopy) indicates that (1) Cr is totally removed, (2) the quartz remains smooth and level (no over-etch) and (3) the riverbeds are 5 - 25 nm. If necessary, a second FIB-induced chemical process is used following the chrome etch process to reduce optical staining due to implanted gallium (a gallium ion beam is used in commerical FIB systems) such that the %T of the repaired areas at i-line(365nm) and DUV(248nm) wavelengths is 95%. In general, this second process is required at 248 nm but not at 365 nm.
AIMS evaluations indicate a critical dimension variation between repaired and reference patterns of 10% at 35% light intensity at UV and DUV wavelengths.
In summary: a. an FIB etch process has been developed which repairs opaque Cr defects, b. a second FIB etch process removes implanted gallium so that the %T is above 95% at i-line (if neccessary) and DUV wavelengths; c. these two etch processes are done sequentially, while the defect is positioned under the FIB column (post treatment processes are not required); d. clear defects can also be repaired at the same time by FIB-induced deposition of opaque carbon.
Keywords: Mask repair, opaque defects, chrome defects, FIB
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Recently, as the design rule of device patterns has been tightened, it has been requested to improve reticle quality, furthermore, to supply reticles embedded resolution enhanced techniques in order to improve printability of most advanced device patterns on wafer. Typical examples are optical proximity correction pattern (OPC) feature like serif or jog decoration and opaque grating patterns and assist patterns of half-tone phase shift masks (HT-PSM). However, to guarantee (assure) the inspection of sub-micron pattern using common inspection techniques was difficult because of their algorithm restriction. We have developed the cell-to-cell comparison method, which we call the cell-shift inspection, to inspect sub-micron patterns with no false. We report the several applications and the evaluation results of cell-shift method in this paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For mask defect inspection in 256 Mbit and 1 Gbit DRAMs, it is necessary to have high sensitivity of 0.2 - 0.1 micrometer. A new die-to-database mask inspection system MC-2000 for 256 Mbit and 1 Gbit DRAMs has been developed. This system has high resolution optics with i-line light and high NA lens, and high speed and high accuracy data processing circuit by new multilevel bit map pattern generator, so the system has both high detectability and high throughput. This paper describes system configuration which include optical system and mechanical system, the defect inspection method, and inspection performance including defect sensitivity.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The intent of photomask metrology has always been to understand the relationship between the features on the photomask and the design data. This desire has been actuated by the necessity to close the loop between the photomask process steps and the wafer lithography. As the Si technology approaches 0.25 micron and beyond, and the employment of resolution enhancement techniques (OPC and phase shifting mask) to extend the capability of optical lithography, both the photomask critical dimension control and pattern fidelity become important and challenging. Describing the features accurately on the photomask is critical to ensure the quality of photomasks. In this paper, the image processing software is examined for measuring and characterizing key photomask parameters, such as edge roughness, edge defects, isolated defects, corner rounding, and contacts. With this image processing software, the effect of mask processing on those key mask parameters, and the impact of those key parameters on defect printability were characterized. Thus, the application of image processing software will contribute immensely to photomask metrology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Photolithography using photomasks will be used for at least up to the 4 Gbit DRAM generation. For these advanced photomasks, higher performance will be demanded from the mask metrology system. The metrology system must satisfy performance criteria such as higher measurement accuracy, handling capability for larger reticles (9' by 9') and so forth, because the pattern rule for reticles will be tighter and the exposure field area will grow in the next generation. Nikon Corporation has been providing a mask metrology system, the XY-5i which can be used for up to the 1 Gbit DRAM generation. In order to meet the above mentioned required performance for the next generation system, Nikon has developed a new metrology system, the XY-6i. The XY-6i has higher measurement accuracy and resolution for pattern coordinates and critical dimensions on reticles and can also measure wafers and next generation reticles (9' by 9') automatically.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The surface imaging (SI) technique to apply to reticle fabrication was investigated. We have proposed an electron- beam (EB) bi-level resist system to fabricate reticles for 1- Gbit DRAMs. The SI technique does not have only an effect to plane the surface of substrate with steps but also good performance to achieve high resolution due to thinner resist as a top layer. It was also found that there was no proximity effect correction to be needed to create the high accuracy patterns on the reticle by using bi-level process. This effect is caused from the reason why Cr and Cr oxide of which mask materials are consisted reflects forwarded electrons strongly. So the resist film in the region near by the surface of opaque film was easily affected by undesirable secondary electrons. For this purpose we made an experiment to set these phenomena in order. Figure 1 and figure 2 shows resist images of contact hole designs on reticles by using bi-level and conventional method respectively. We could find this technique useful to improve quality of corner round because according to properties of line width, the amount of exposed energy was quite different in each case even if every resist was given the same dosage.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In recent, the analysis of the reason why CD uniformity error is produced on photomask has been performed and this is very important fact for the development of next generation mask. The analysis shows that current 6-inch 250 mil masks have systematic radial and side to side CD variation trend. In this paper, the reasons of these nonrandom errors of CD variation in mask making have been investigated by using some experimental methods. First, the verification of radial CD error has been performed with a viewpoint of chemical flow on mask in conjunction with spin process and dip process. The result shows that the radial error was largely dependent on blank mask rather than spin process effect. In that evidence, high temperature softbaking improved radial CD uniformity. Fundamentally, however, exposure contrast degradation is thought to be the major reason of the radial CD uniformity error. Secondly, side to side CD variation could be explained by chrome sputtering mechanism on blank mask.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have introduced various radical captures and their combinations to 'ZEN 4100' which acted as negative tone electron beam resist for reticle making. We reduced the generation of the tails and the scum by loading phenolics and phosphorous compound in resist to control the cross-linking reaction. However, we found that variation of resist sensitivity not only depended on deterioration of any ingredient but also on reaction of a radical capture on a ceratin material. In detailed investigation phosphorous compound as secondary radical capture reacted on some group of polymers faster than others (Fig. 1). This effect brought the CD variation on the reticle because the additives suppressed the tails and the scum which covered the unetched area of thin chromium film during the etching process (Fig. 2). Comparing Fig. 1 to Fig. 2, CD-shift which moves in correspondence with concentration of phosphorous compound can be observed. To understand this mechanism we have made a comprehensive study to separate the term when phosphorous compound was changed. As a result we concluded the concentration of phosphorous compound in the bottle of the resist. Because once the resist was coated on the plate from liquid state by spinning chuck, any CD variation could not have been seen for 50 days and concentration of phosphorous was stable up to 170 degrees Celsius in pre-baking process (Fig. 3, Fig. 4). We describe how to control the CD variation in this paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
While mask lithography tool critical dimension (CD) performance continues to improve, CD performance on the mask has not improved comparably. CD nonuniformity analyses reported earlier indicate that a major source of error is likely to be due to post apply bake (PAB) nonuniformity. Modeling of hotplate baking of 6' by 6' by 0.25' (6025) mask blanks predicts the temperature range within a 132-mm square area to be 4 degrees Celsius. Several strategies have been proposed to improve CD errors related to PAB. One is to utilize a resist with reduced CD sensitivity to PAB temperature, which would minimize the effect of PAB temperature nonuniformity. Another is to modify the bake procedure and equipment to obtain improved temperature uniformity across the mask surface. In this paper, we report on progress form work performed to evaluate both of these methods. The performance and implementation of a temperature metrology tool consisting of a 6025 mask blank instrumented with an array of calibrated RTD sensors to characterize PAB temperature uniformity are described.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We measured the x-ray mask distortion induced in the back- etching step in wafer processing and evaluated the contributions of SiN or SiC membrane stress and its uniformity along with Ta absorber stress and its uniformity to the distortion. Membrane stress nonuniformity causes a large amount of distortion in SiC membrane masks while it causes a small amount in SiN membrane masks. Absorber stress nonuniformity causes a large amount of distortion in Ta/SiN membrane masks, but it is expected to be reduced by 60% in Ta/SiC membrane masks. In wafer processing, therefore, the main cause of the distortion in Ta/SiN membrane masks is SiN membrane stress and absorber stress nonuniformity while in Ta/SiC membrane masks it is SiC membrane stress and its nonuniformity. We used these distortion component data to estimate the distortion induced in membrane processing. The distortion caused by SiN or SiC membrane stress is expected to be zero and the distortion caused by SiN or SiC membrane stress nonuniformity is expected to be less than 10 nm in membrane processing. As a result, for a pattern density of 50%, adopting membrane processing would reduce process-induced distortion by 50% in Ta/SiN membrane masks and by 75% in Ta/SiC membrane masks. The combination of SiC membranes and membrane processing significantly reduces process-induced distortion.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A process using gas-assisted etching (GAE) was developed to repair defects in x-ray masks with a high aspect ratio Ta pattern. XeF2 was employed as the etchant gas. To control the adhesion of XeF2 gas molecules on the surface of a sample, we adjusted the gap between the point of the nozzle and the sample surface. The sidewall of the pattern was vertical, and rounding at the pattern top was not seen. The linewidth of the pattern was in good agreement (within 0.02 micrometer) with the setting width. The pattern had a very smooth bottom due to the chemical effect of etching. In examining the printability by printing on a resist using the SR exposure system with a repaired mask, we confirmed that a Ta absorber x-ray mask could be repaired with high accuracy by GAE.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We newly developed the mask bias method in electron beam (EB) cell projection lithography to improve the resolution and to increase the throughput. In this method, the open slits of EB mask are shrunk to reduce the ratio of open area in EB mask. This shrinkage decreases the Coulomb interaction effect and the proximity effect. This results that 0.14 micrometer L/S pattern can be resolved even at the maximum shot size (25 micrometer2) and so the writing time of 0.14 micrometer L/S pattern can be reduced by half.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To improve the depth of focus of isolated windows, large assist feather technique has been proposed. This large assist method uses the assist features having almost the same size as main patterns, and the quartz substrate was vertically etched at the assist features. These large assist features were not printed on a wafer by mask topography effect; that is, the light intensity at large assist feature was decreased by the scattering effect of the vertical quartz edges. In this large assist feature masks, the phase shift angle of an assist feature has large effect on focus latitude. We chose two phase shift angles: 180 degrees for small sigma illumination and 360 degrees for annular illumination. The performances of two large assist feature masks were evaluated by using a 0.55 NA, valuable sigma, and KrF excimer laser stepper. Moreover, we applied surface insoluble layer to the assist feature method. Large assist features having the same size as main patterns were not printed on resist surface for 0.16 - 0.2 micrometer windows. Wide DOF (0.8 micrometer) of 0.16 micrometer window was obtained by using this method.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Critical dimension error on a wafer caused by leaking light through embedded shifter type opaque ring on an i-line attenuated phase-shift mask has been studied. We have produced the mask that includes small pinhole-array pattern as the opaque ring, and confirm that transmittance through the opaque ring depends on pinhole size in good agreement with coherent theory. Our experimental result shows that the leakage must be less than 0.125% in transmittance in order to control resist dimension error less than 0.01 micrometer on a wafer for 0.35 - 0.4 micrometer devices. We have also derived an analytical form to represent leaking light, which shows good fit to the transmittance measurements with the various pinhole size. Then we have estimated the allowable error in phase difference and transmittance of the shifter, and that in pinhole size, applying for this formula. We also discuss the process feasibility for embedded shifter type opaque ring.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An aptitude for attenuated phase shift mask (AttPSM) blank of zirconium silicon oxide (ZrSiO) films for excimer laser lithography use was evaluated. ZrSiO films were deposited by dc or rf sputtering in Ar mixed with 02 gas using ZrSi2 target. Since optical constants of ZrSiO films vary with sputtering parameters, their bi-layer structures can fulfill optical quality required AttPSM. They have high durability to heating conc. H2SO4 independent of their optical constants. Internal stress varies from initial compression to tensile side by annealing to obtain conveniently a low stressed blank. Sheet resistance and surface roughness are sufficiently small to fabricate AttPSM pattern including sub- micron features. They are etched by chlorine-based gases, resulting in high dry etch selectivity to quartz substrate. Although they are expected to have potential in durability to excimer laser, it should be evaluated under the conditions similar to exposure system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An important pattern layout rule in application of alternating phase shift mask (PSM) is proposed. The images of semi- randomly aligned patterns show poor characteristics in defocus and mask fidelity. For an example, lines and spaces patterns with uniform bright and non-uniform dark widths showed significantly large CD variation with defocus. And lines and spaces patterns with uniform dark and non-uniform bright widths showed completely asymmetrical CD-focus characteristics. It has been revealed by the comparison between experimental data and the simulated results that the asymmetrical characteristics are caused by the spherical aberration in projection optics.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extension of optical resolution using technologies such as alternating phase-shifting mask, chromeless PSM, or attenuated PSM combined with off-axis illumination is necessary for manufacturing 180 nm devices. Strong shifters, like alternating and the chromeless type PSM, hold the most promise for optical extension to k1's less than 0.5. However, it is difficult to produce error free masks of these types using today's technologies. Focus-exposure data for 180 nm and 250 nm grouped lines produced with an alternating PSM and a KrF stepper show an asymmetric response about the center of focus (CoF) and an exposure dependent shift in CoF. The CoF changes with changes in phase for varying aerial image critical dimensions (CD), and thus explains that deviations from 180 degree phase cause the observed changes in CoF. Phase error also induces change in image placement. Modeling predicts that the observed CoF is the result of phase greater than or less than 180 degrees, the shape of the entire focus-exposure CD response curve can elucidate which error is observed as can image placement deviation. Monitoring shifts in image placement lends itself to measuring phase error using aerial image analysis. In this paper modeling using the vector analysis package of PROLITH/2 (FINLE Technologies) suggested that the experimentally observed CoF could be explained by a 10 degree error to either side of 180 degrees. Aerial image shifts measured with a MSM-100 AIMS tool (Zeiss) indicated that the error was above 180 degrees. Then combining simulation and aerial image data, the effective phase of the mask was estimated to be 190 degrees.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper addresses the capabilities of the Micrion 8000 FIB (focused ion beam) phase shift mask repair tool to repair clear defects and opaque defects found on chrome-based binary and attenuated phase shift masks, and MoSi-based attenuated phase shift masks for 0.35 micrometer lithography. For a repair to be successful, the repair must: match size, shape, and position of the defect, reproduce the desired transmission, minimize damage to the underlying substrate, minimize damage to surrounding non-defect areas, and finally, the repair must be durable. For the production environment, a repair tool must be very reliable and easy to use as well. The Micrion 8000 FIB phase shift mask repair tool incorporates the above requirements.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The etch-back and laser-explosion process was proposed and reported for Levenson mask repair before. If convex defects and leveling film were etched by physical sputtering and remaining leveling film was removed by laser explosion in the etch-back and laser-explosion process, quartz (Qz) substrate was over-etched because the Ga implanted layer of Qz substrate was also removed by the laser explosion due to the transmittance decrease of the Ga implanted layer. In this paper, gas assisted etching with the mixture of XeF2 and O2 was applied to the etch-back and laser-explosion process. The coincident etching rate of Qz (phase shifter) with C film was obtained, which is an indispensable requirement for the etch-back process. The optical transmittance of Qz substrate after the repair was 95% for the light of 250 nm wavelength. The transmittance was sufficient to avoid over-etching of Qz substrate.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
KLA-Tencor has developed a new reticle defect inspection algorithm, APA (advanced performance algorithm), which replaces the current 300 series algorithm (P183), and offers significant improvements in the detection of important defect types on advanced reticles, including OPC reticles. The improvements with APA can also allow inspections with larger pixel sizes compared with P183, resulting in faster inspection times. A suite of test masks was used for evaluating APA's performance on a 351 reticle inspection system at 488 nm using 0.5, 0.375 and 0.25 micrometer pixel sizes. The test suite included a VeriThoroTM 890EX test reticle and a SEMI- standard programmed defect test pattern scaled by 50%, 33%, 25%, and 20% (producing nominal primary features sizes of 1.5, 1.0, 0.75 and 0.60 micrometer). APA's improved performance allowed the use of the 0.375 micrometer pixel size for the 1.5 and 1.0 micrometer linewidth patterns resulting in faster inspection times (compared with the 0.25 micrometer pixel size for P183); it further allowed the successful inspection of the 0.75 and 0.60 micrometer linewidth patterns. A methodology was developed to analyze, summarize and compare the performance results of APA and P183. Finally, APA successfully inspected various actual product reticles with patterns of 0.75 micrometer and below including an advanced MicroUnity OPC (optical proximity correction) reticle with 0.75 micrometer serif and 0.35 micrometer database neck dimensions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes an image sensing method and defect detection algorithm applied for a newly developed mask inspection system, the MC-2000, for 256 Mbit and 1 Gbit DRAM masks. The MC-2000, which utilizes i-line wavelength optics, is designed for less than 0.2 micrometer defect detection capability. An image sensing system employing a TDI (time delay integration) CCD image sensor is used for i-line image acquisition with an 80 Mpixel/sec data rate. Defect detection is done by comparing sensor image data with CAD data. Here we utilized our original differential comparison method which has very high sensitivity for defect detection.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Recently, as the design rule of the device is rapidly tightened, defect control is more critical and high-end masks like 256 M and 1 G DRAM level have difficulty for database inspection due to high data volume, data density, OPC, etc. Therefore, it is necessary to evaluate the machine capability of database inspection and defect capture ability for critical layer. For the experiment, we prepared three test plates that have tight CD design and extreme small OPC patterns, and one of them is combined by 4 different layers as metal, contact, ipso, and poly and design rule is 1.0 - 1.5 micrometer. And we shrinked the some area (80, 75%) for confirming the limitation of DB inspection. Through this evaluation, we tried to identify current barriers such as CD uniformity problem, and overcome the barriers and find ways how to improve the inspection capability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The significance of line width control in mask production has become greater with the lessening of defect size. There are two conventional methods used for controlling line widths dimensions which employed in the manufacturing of masks for sub micron devices. These two methods are the critical dimensions (CD) measurement and the detection of edge defects. Achieving reliable and accurate control of line width errors is one of the most challenging tasks in mask production. Neither of the two methods cited above (namely CD measurement and the detection of edge defects) guarantees the detection of line width errors with good sensitivity over the whole mask area. This stems from the fact that CD measurement provides only statistical data on the mask features whereas applying edge defect detection method checks defects on each edge by itself, and does not supply information on the combined result of error detection on two adjacent edges. For example, a combination of a small edge defect together with a CD non- uniformity which are both within the allowed tolerance, may yield a significant line width error, which will not be detected using the conventional methods (see figure 1). A new approach for the detection of line width errors which overcomes this difficulty is presented. Based on this approach, a new sensitive line width error detector was developed and added to Orbot's RT-8000 die-to-database reticle inspection system. This innovative detector operates continuously during the mask inspection process and scans (inspects) the entire area of the reticle for line width errors. The detection is based on a comparison of measured line width that are taken on both the design database and the scanned image of the reticle. In section 2, the motivation for developing this new detector is presented. The section covers an analysis of various defect types, which are difficult to detect using conventional edge detection methods or, alternatively, CD measurements. In section 3, the basic concept of the new approach is introduced together with a description of the new detector and its characteristics. In section 4, the calibration process that took place in order to achieve reliable and repeatable line width measurements is presented. The description of an experiments conducted in order to evaluate the sensitivity of the new detector is given in section 5, followed by a report of the results of this evaluation. The conclusions are presented in section 6.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Reticle pattern defect inspection systems for 1 giga-bit DRAM devices are required to have a defect detection sensitivity of 0.1 micrometer, and a stage of 9-inch. This requires these systems to process the data used for database inspection at high speeds. The currently used reticle pattern defect inspection systems require a longer time to inspect reticle as the defect inspection sensitivity or the amount of pattern data is increased. Performing reticle pattern defect inspection in a short delivery time is often required. Therefore, inspection systems must achieve high sensitivity and high throughput. Reticle writing systems promote high throughput by multi-beams. Currently we have found no breakthroughs in high throughput of inspection processes and recently inspection time is longer than the pattern writing time. the throughput of inspection process has become a serious challenge. As the high-density packaging of semiconductor devices advances, the size of reticle patterns has become sub-micron feature. As a result, reticle pattern defect inspection systems are required to recognize fine patterns and detect below 0.2 micrometer defects. Specifically in database inspection, false defect detection caused by shape mismatching between reticle and inspection pattern data has become a serious problem. To solve these problems, a novel reticle pattern defect inspection system (8MD93R) has been developed by using a new technique.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Proximity effect correction is a key technology for fabricating reticles by electron beam writing systems. To write patterns of 1 Gbit or higher-capacity DRAMs, the dimensional accuracy required for the correction is better than about 10 nm. Conventional methods do not have sufficient accuracy at the position where pattern density changes sharply. We propose a new correction method with higher accuracy for various patterns and show that we can achieve corrections accurate to about 5 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A fast automated method to detect sidelobe overlap projected by adjacent apertures in attenuated phase-shift masks has been developed. This method approximates the sidelobe images by polygons, on which pattern operations are applied to quickly detect areas of overlap where unexpected images may occur. The feasibility of this method was demonstrated using hole patterns which contained 1.48 million pattern features. Calculation time was 4.3 hours, which was almost 3,500 times faster than aerial image simulation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new mask data processing system which significantly reduces overhead time in internal data handling and total elapsed processing time has been developed. This system is designed with a new compact mask pattern database architecture, where all mask data processing steps are performed. Using this system, the total elapsed processing time of geometrical operations, optical proximity effect correction (OPC) and electron beam (EB) exposure system data conversion were improved by a factor of 3.7 compared to a conventional system. The actual processing time for a wiring layer of a 0.25 micrometer device was 3.5 hours. In this paper, details of the system design and application for actual 0.25 micrometer devices are further discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In electron beam lithography (EBL), mechanical vibration is an important factor degrading positioning accuracy. We propose a new method to correct for mechanical vibration to improve this accuracy and investigate the effectiveness of this method in a nanometer EBL system. First, the natural frequencies and modes were investigated by experimental modal analysis. The analysis clarified that the beam positioning error in each natural frequency is identified by the vibration amplitude of each natural mode. So, the real-time correction is performed in the following way. Mechanical vibration is sensed with accelerometer at the top of the electron optical column. Then a correction signal is produced by filtering the natural frequencies from the vibration signal, and then, by adjusting the gain and phase of the through signal. Lastly, the correction signal is sent to the deflector. In experiments, to evaluate the effectiveness, we exposed patterns under the constant mechanical excitation. With the vibration amplitude 130 nm at the top of the column, the pattern positioning error was reduced from 60 nm to within 10 nm by the correction. These results indicate that this correction method is a valuable means of improving the positioning accuracy.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Etec Systems, Inc. has developed a new e-beam mask lithography system, the MEBES 4500S, featuring a higher productivity writing strategy called multipass gray and a number of mechanical and electrical improvements. This new system, based on the proven technologies introduced in the MEBES 4500 system, provides improved throughput and accuracy. The MEBES 4500S system with multipass gray supports smaller mask design addresses needed for high resolution masks, while providing higher dose for high contrast processes with low sensitivity and improved CD linearity. Improved print performance is achieved by the introduction of several system design changes that work in conjunction with the multipass gray writing mode. These changes include improved column deflection system temperature control, enhanced TFE current control, improved work chamber thermal management, and improved stage drive vibration damping. Details of these features are presented along with first performance data for the new system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Mask accuracies for the newest and next generation devices are very tight. The SIA Roadmap indicates writing accuracies (CD uniformity) of 18 nm and 13 nm for 1-Gbit and 4-Gbit DRAM 4X reticles, respectively. To meet this challenge, a new electron optical column is being developed for an electron beam mask writing system. The column has a beam current density of 20 A/cm2 (50 kV), a beam blurring of 0.06 micrometer at a 16 micrometer2 beam size, and a total aberration of less than 0.05 micrometer at 1 mm deflection length. The key technologies for this column are as follows: (1) Shorter column length and wider beam half-angle for reduction of Coulomb interaction; (2) Per shot focus correction of space charge effect; (3) In-lens, single stage electrostatic beam deflection system with focus and astigmatism correction. In this paper, we report the simulation results of this electron optical column design.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.