Open Access Paper
20 October 2016 Front Matter: Volume 10032
Proceedings Volume 10032, 32nd European Mask and Lithography Conference; 1003201 (2016) https://doi.org/10.1117/12.2264270
Event: 32nd European Mask and Lithography Conference, 2016, Dresden, Germany
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 10032, including the Title Page, Copyright information, Table of Contents, Foreword, List of Sponsors, and Conference Committee listing.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in 32nd European Mask and Lithography Conference, edited by Uwe F.W. Behringer, Jo Finders, Proceedings of SPIE Vol. 10032 (SPIE, Bellingham, WA, 2016) Six-digit Article CID Number.

ISSN: 0277-786X

ISSN: 1996-786X (electronic

ISBN: 9781510604872

ISBN: 9781510604889 (electronic)

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445 SPIE.org

Copyright © 2016, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/16/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00009_psisdg10032_1003201_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model. A unique citation identifier (CID) number is assigned to each article at the time of publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online and print versions of the publication. SPIE uses a six-digit CID article numbering system structured as follows:

  • The first four digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript.

Authors

Numbers in the index correspond to the last two digits of the six-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first four digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B...0Z, followed by 10-1Z, 20-2Z, etc.

Abegg, Erik, 0L

Anthony, Ricky, 03

Baier, L., 0Q

Banasch, M., 04

Becker, M., 0Q

Bergeret, François, 0J

Bolten, Jens, 0D

Borde, M., 0P

Bos, S., 0M

Bottiglieri, Gerardo, 0B

Bourgin, Y., 04

Buck, Peter, 0G

Burbine, Andrew, 0N

Chalom, Daniel, 05

Chaudhary, N., 06

Choi, Jin, 0E

Choi, Yohan, 05

Colina, Alberto, 0B

Dattilo, Davide, 07

de Winter, L., 0A

Dietze, Uwe, 07

Dillon, Brian, 05

Eibelhuber, M., 0M

Emoto, Keiji, 0E

Fenger, Germain, 0N

Ferstl, Berthold, 07

Finders, Jo, 0A, 0H

Förthner, M., 0Q

Frey, L., 0Q

Fryer, David, 0N

Geuzebroek, Douwe, 09

Giesecke, Anna Lena, 0D

Green, Michael, 05

Ham, Young, 05

Han, Zhenxing, 07

Hayashi, Naoya, xvii Hertzsch, Tino, 02

Hiura, Hiromi, 0E

Hudek, Peter, 05

Hur, Ik Boum, 05

Iida, Noriko, xvii Jurkovic, Michal, 05

Kamberian, Henry, 05

Kamo, Takashi, xvii Kasprowicz, Bryan, 05

Kiers, Ton, 0H

Klikovits, Jan, 05

Kollmuss, M., 0Q

Laforge, Elias, 03, 0O

Lakcher, Amine, 0H

Landis, S., 0M

Last, Thorsten, 0A, 0B

Laure, M., 0M

Le Gratiet, Bertrand, 0H, 0J

Leinse, Arne, 09

Lerch, Holger, 0D

Luo, Y., 06

Lutich, Andrey, 02, 0K

McCloskey, Paul, 03, 0O

McMurran, Jeff, 05

Michel, F., 0Q

Moll, Hans-Peter, 02

Morikawa, Yasutaka, xvii Nasalevich, Maxim, 0L

Oetter, Günter, 07

O’Mathúna, Cian, 03, 0O

Pain, L., 0M

Paninjath, Sankaranarayanan, 0G

Park, Joong Hee, 05

Park, Youngjin, 08

Pasqualini, F., 0P

Pavlovic, Zoran, 0O

Pereira, Mark, 0G

Péter, Mária, 0L

Porschatis, Caroline, 0D

Prinzen, Andreas, 0D

Rabot, Caroline, 0O

Rispens, Gijsbert, 0B

Rommel, M., 0Q

Roule, O., 0P

Rumler, M., 0Q

Samayoa, Martin, 07

Sandstrom, Tor, 08

Savari, S. A., 06

Schatz, Jirka, 02

Schauer, V., 0M

Schumacher, Karl, 0I

Schumaker, Phil, 0E

Seltmann, Rolf, 0I

Soni, Rakesh Kumar, 0G

Soual, Carole, 0J

Steingrüber, R., 0C

Sturtevant, John, 0N

Takabayashi, Yukio, 0E

Takai, Kosuke, xvii Takashima, Tsuneo, 0E

Teyssedre, H., 0M

Thanner, C., 0M

vii

viii

Thwaite, Peter, 0G

Utzny, Clemens, 0I, 0R

van Adrichem, P., 0A

van Brakel, R., 0F

van der Zande, Wim, 0L

van Ingen Schenau, Koen, 0B

van Kerkhof, Joost, 09

van Schoot, Jan, 0B

van Setten, Eelco, 0B

van Zwol, Pieter Jan, 0L

Vermeulen, Hans, 0L

Verschuuren, M. A., 0F

Vles, David, 0L

Voorkamp, R., 0F

Voorthuijzen, Pim, 0L

Wahlbrink, Thorsten, 0D

Wahlsten, Mikael, 08

Wang, Ningning, 0O

Weichelt, T., 04

Weisbuch, François, 02

Wimplinger, M., 0M

Zeitner, U. D., 04

Zhang, Z., 0C

Zorbach, W., 0M

Conference Committee

  • Conference Chair

    • Jo Finders, ASML Netherlands B.V. (Netherlands)

  • Conference Co-chairs

    • Brid Connolly, Toppan Photomasks GmbH (Germany)

    • Chris Gale, Applied Materials (Germany)

    • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • Program Chairs

    • Uwe F.W. Behringer, UBC Microelectronics (Germany)

    • Ines Stolberg, Vistec Electron Beam GmbH (Germany)

    • Rolf Seltmann, GLOBALFOUNDRIES (Germany)

    • Daniel Sarlette, Infineon Technologies Dresden GmbH (Germany)

  • Other Members

    • Carola Bläsing, Carl Zeiss SMS GmbH (Germany)

    • Parkson Chen, Taiwan Mask Corporation (Taiwan)

    • Albrecht Ehrmann, Carl Zeiss SMS GmbH (Germany)

    • Andreas Erdmann, Fraunhofer IISB (Germany)

    • Dave Farrar, HOYA Corporation (United Kingdom)

    • Rik Jonckheere, IMEC vzw (Belgium)

    • Barbara Lauche, Photronics MZD GmbH (Germany)

    • Carlos Lee, EPIC – European Photonics Industry Consortium (Belgium)

    • Bertrand Le Gratiet, STMicroelectronics (France)

    • Harry Levinson, GLOBALFOUNDRIES (United States)

    • Hans Löschner, IMS Nanofabrication AG (Austria)

    • Michael Mühlberger, Profactor GmbH (Austria)

    • Jan Hendrik Peters, Carl Zeiss SMS GmbH (Germany)

    • Jose Pozo, European Photonics Industry Consortium (Belgium)

    • Chris Progler, Photronics Inc. (United States)

    • Douglas J. Resnick, CNT-Canon (United States)

    • Klaus-Dieter Röth, KLA-Tencor MIE (Germany)

    • Thomas Scherübl, Carl Zeiss SMS GmbH (Germany)

    • Ronald Schnabel, VDE/VDI-GMM (Germany)

    • Steffen Schulze, Mentor Graphics Corporation (United States)

    • Martin Tschinkl, AMTC (Germany)

    • Jacques Waelpoel, ASML Netherlands B.V. (Netherlands)

    • Jim Wiley, ASML US, Inc. (United States)

    • C. Grant Willson, University of Texas, Austin (United States)

    • Hermann Wolf, Photronics MZD GmbH (Germany)

    • Stefan Wurm, SEMATECH (United States)

    • Larry Zurbrick, Agilent Technologies (United States)

  • Session Chairs

    • Plenary Session I

    • Rolf Seltmann, GLOBALFOUNDRIES (Germany)

    • Jo Finders, ASML Netherlands B.V. (Netherlands)

    • Plenary Session II

    • Ines Stolberg, Vistec Electron Beam GmbH (Germany)

    • Martin Tschinkl, AMTC (Germany)

    • Wafer Lithography

    • Rolf Seltmann, GLOBALFOUNDRIES (Germany)

    • Carmen Jaehnert, Infineon Technologies AG (Germany)

    • Mask Patterning, Metrology, and Process

    • Naoya Hayashi, Dai Nippon Printing Company Ltd. (Japan)

    • Klaus-Dieter Röth, KLA-Tencor MIE (Germany)

    • Novel Approaches

    • Albrecht Ehrmann, Carl Zeiss SMS GmbH (Germany)

    • Hermann Wolf, Photronics MZD GmbH (Germany)

    • EUV I

    • Stefan Wurm, SEMATECH (United States)

    • Jo Finders, ASML Netherlands B.V. (Netherlands)

    • EUV II and Advanced 193i

    • Rolf Seltmann, GLOBALFOUNDRIES (Germany)

    • Thomas Scherübl, Carl Zeiss SMS GmbH (Germany)

    • Photonics

    • Jose Pozo, European Photonics Industry Consortium (Belgium)

    • Andreas Erdmann, Fraunhofer IISB (Germany)

    • Nano-Imprint Lithography

    • Naoya Hayashi, Dai Nippon Printing Company Ltd. (Japan)

    • Michael Mühlberger, Profactor GmbH (Austria)

    • Modeling and Computational Process Correction

    • Andreas Erdmann, Fraunhofer IISB (Germany)

    • Germain Fenger, Mentor Graphics Corporation (United States)

    • Using the Data

    • Jan Hendrik Peters, Carl Zeiss SMS GmbH (Germany)

    • Bryan Kasprowicz, Photronics, Inc. (United States)

    • Moor than Moore, IoT, and Manufacturing Challenges

    • Uwe F.W. Behringer, UBC Microelectronics (Germany)

    • Bertrand Le Gratiet, STMicroelectronics (France)

Foreword

On behalf of VDE/VDI-GMM, the sponsors, and the organizing committee, we would like to welcome you to the proceedings from the 32nd European Mask and Lithography Conference, EMLC2016, at the Hilton Hotel in Dresden, Germany.

The conference has annually brought together scientists, researchers, engineers, and technologists from research institutes and companies from around the world to present innovations at the forefront of mask and wafer lithography. The two-day conference was dedicated to the science, technology, engineering and application of mask and lithography technologies and associated processes— giving an overview of the present status of mask and lithography technologies, while also providing future strategies where mask producers and users have the opportunity of becoming acquainted with new developments and results. This year’s sessions included: Mask Patterning, Metrology and Process, Wafer Lithography, EUV, Modelling and Computational Process Correction, Photonics, More than Moore, IoT and Manufacturing Challenges, Using the Data, Novel Approaches, and Nano-Imprint Lithography.

Rutger Wijburg from Globalfoundries (Dresden) was the welcome speaker and first keynote speaker. He presented, “The Semiconductor Industry in Transition: A European Perspective.”

The second keynote speaker was Naoya Hayashi from Dai Nippon Printing, Japan. His talk was titled, “Challenges and Prospects of Next Generation Masks” The status of the worldwide mask technologies.”

The third keynote speaker was You Cao from ASML Brion Inc. (USA) who presented “Computational Lithography and Applications in Process Window Enhancement and Control.”

On Monday morning, the Best Poster from BACUS 2015 was presented, followed by the Best Paper from PMJ 2016.

Technical Exhibition

Parallel to the conference presentations, a technical exhibition took place on Tuesday and Wednesday where companies (mask suppliers, material suppliers and equipment suppliers) presented their companies and products. To foster the exchange between the conference attendees and the exhibitors, the exhibition area was also the place for all coffee and lunch breaks.

We hope that you enjoyed the technical sessions of the EMLC2016 as well as the technical exhibition, but also allowed yourself to visit the beautiful city of Dresden.

Uwe F.W. Behringer

EMLC2016 Program Chair

Sponsors and Cooperating Partners

The 32nd European Mask and Lithography Conference, EMLC 2016, would like to express its sincere appreciation to all the sponsors and coopering partners mentioned below for their support

00009_psisdg10032_1003201_page_15_1.jpg
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 10032", Proc. SPIE 10032, 32nd European Mask and Lithography Conference, 1003201 (20 October 2016); https://doi.org/10.1117/12.2264270
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Lithography

Electron beam lithography

Data modeling

Nanoimprint lithography

Signal processing

Extreme ultraviolet lithography

Back to Top