Presentation + Paper
23 March 2018 Electrical comparison of iN7 EUV hybrid and EUV single patterning BEOL metal layers
Stéphane Larivière, Christopher J. Wilson, Bogumila Kutrzeba Kotowska, Janko Versluijs, Stefan Decoster, Ming Mao, Marleen H. van der Veen, Nicolas Jourdan, Zaid El-Mekki, Nancy Heylen, Els Kesters, Patrick Verdonck, Christophe Béral, Dieter Van den Heuvel, Peter De Bisschop, Joost Bekaert, Victor Blanco, Ivan Ciofi, Danny Wan, Basoene Briggs, Arindam Mallik, Eric Hendrickx, Ryoung-han Kim, Greg McIntyre, Kurt Ronse, Jürgen Bömmels, Zsolt Tőkei, Dan Mocuta
Author Affiliations +
Abstract
The semiconductor scaling roadmap shows the continuous node to node scaling to push Moore’s law down to the next generations. In that context, the foundry N5 node requires 32nm metal pitch interconnects for the advanced logic Back- End of Line (BEoL). 193immersion usage now requires self-aligned and/or multiple patterning technique combinations to enable such critical dimension. On the other hand, EUV insertion investigation shows that 32nm metal pitch is still a challenge but, related to process flow complexity, presents some clear motivations.

Imec has already evaluated on test chip vehicles with different patterning approaches: 193i SAQP (Self-Aligned Quadruple Patterning), LE3 (triple patterning Litho Etch), tone inversion, EUV SE (Single Exposure) with SMO (Source-mask optimization). Following the run path in the technology development for EUV insertion, imec N7 platform (iN7, corresponding node to the foundry N5) is developed for those BEoL layers.

In this paper, following technical motivation and development learning, a comparison between the iArF SAQP/EUV block hybrid integration scheme and a single patterning EUV flow is proposed. These two integration patterning options will be finally compared from current morphological and electrical criteria.
Conference Presentation
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Stéphane Larivière, Christopher J. Wilson, Bogumila Kutrzeba Kotowska, Janko Versluijs, Stefan Decoster, Ming Mao, Marleen H. van der Veen, Nicolas Jourdan, Zaid El-Mekki, Nancy Heylen, Els Kesters, Patrick Verdonck, Christophe Béral, Dieter Van den Heuvel, Peter De Bisschop, Joost Bekaert, Victor Blanco, Ivan Ciofi, Danny Wan, Basoene Briggs, Arindam Mallik, Eric Hendrickx, Ryoung-han Kim, Greg McIntyre, Kurt Ronse, Jürgen Bömmels, Zsolt Tőkei, and Dan Mocuta "Electrical comparison of iN7 EUV hybrid and EUV single patterning BEOL metal layers", Proc. SPIE 10583, Extreme Ultraviolet (EUV) Lithography IX, 105830U (23 March 2018); https://doi.org/10.1117/12.2299389
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Optical lithography

Etching

Tin

Resistance

Metals

Semiconducting wafers

Back to Top