Open Access Paper
21 January 2019 Innovating from History
Author Affiliations +
Abstract
The progression of projection printing systems is shown and discussed. We first showed the path of improvement of resolution and depth of focus through NA increase, wavelength and k1 reductions through resolution enhancement techniques. Lens imaging lens went through all-reflective, to dioptric, catadioptric, then back to all reflective systems. Systems of single optical axis moved to multiple optical axes, then single axis, and is coming back to multiple axes. Full-wafer field systems progressed to step-and-repeat systems, then stayed at step-and-scan. 1X systems gave way to reduction systems. Pellicleless systems improved to pellicle-essential systems and possibly back to pellicleless systems. We will pick the notable pacesetters in this long list of innovations to guide us into innovations in the future to sustain the growth of the industry. The lesson learned were applied to EUV lithography and nanoimprint lithography. Two non-resolution-centric systems are shown to show that there are other opportunities in lithography than just stubbornly pursuing Moore’s law of scaling.

1.

INTRODUCTION

It took innumerous innovations over the last four decades to bring the world from the 5-micrometer node through 3, 2, 1.5, 1.25, 1 micrometers, then 750, 500, 350, 250, 180, 130, 90, 65, 45, 28, 20, 16, 10, and 7 nm. Shrinking the linear dimension by 3 orders of magnitude and the area by 6 orders. This tremendous shrinking took place in many fronts. Wavelength reduction has gone through 436, 365, 248, 193, and possibly 13.5 nm. NA started from 0.18, through 0.28, 0.35, through 1.2 to 1.35. Many resolution enhancement techniques were used to bring k1 from 0.8 to below 0.1. The resist technology has improved from single to multi-layer systems and surface imaging systems, low-sensitivity to chemically amplified systems and possibly to low-resist-blur but high-sensitivity metal-containing systems. Imaging systems first adopted proximity printing using a wide spectrum of energies from UV photons, x-ray photons, to electron and ion beam. Projection printing succeeded proximity printing through all-reflective, to dioptric, catadioptric, then back to all reflective systems. 1X systems gave way to reduction systems. Pellicleless systems improved to pellicle-essential systems and possibly back to pellicleless systems.

In this paper, we focused on the innovations on the imaging system to learn the lessons in this particular area by comparing the pros and cons of each system. With the lesson learned, we will be better equipped to judge upcoming novel patterning innovations, such as EUV lithography, nanoimprint. Innovations should not be limited to what have been practiced. A dual-focal-plan imaging system for MEMS and the metalens are given as examples of novel patterning schemes.

2.

RESOLUTION AND DOF SCALING EQUATIONS

The scaling equation of resolution and depth of focus (DOF) has been used to guide the progression of the projection printing systems. Starting from 1986, these equations1 took the following forms,

00001_PSISDG10584_1058402_page_1_1.jpg
00001_PSISDG10584_1058402_page_1_2.jpg

Where λ is the actinic wavelength in vacuum; θ, aperture angle in the imaging media; NA, numerical aperture of the imaging lens. k1 and k2 are proportional constants. To make W smaller, one can reduce λ, k1 or increase NA, with different consequences on DOF. Equation 2 is valid only for small θ. It is a paraxial approximation. By 2000, the 2 scaling equations2 have been derived for any θ.

00001_PSISDG10584_1058402_page_2_1.jpg
00001_PSISDG10584_1058402_page_2_2.jpg

where, λ0 is the wavelength in vacuum; λn and θn are the wavelength and aperture angle in the imaging medium.

3.

LEARNINGS FROM THE LITHOGRAPHY GALAXY

With any given pair of k1 and k3, constant λ and constant NA contours can be plotted in the W-DOF space in log scale. This is the W-DOF lithography galaxy. An example of k1=0.28 and k3=0.35 is shown in Fig. 1. A lithography galaxy shows the resolution and the resultant DOF that can be achieved at a given combination of λ and NA. It covers all possible combinations of λ and NA at a given k1. The galaxy can move forward or backwards depending on the k1 used. Figure 2 shows a galaxy moving backwards from k1=0.65 to 0.9. This can be caused by deterioration of the imaging system such as lost contrast due to stray light or vibration. Figure 3 shows the galaxy moving forwards from k1=0.6 to 0.28. This is the result of using resolution enhancement techniques, such as 2-beam imaging and proximity corrections.

Fig. 1.

Lithography galaxy formed with constant λ and constant NA contours in the W-DOF space.

00001_PSISDG10584_1058402_page_2_3.jpg

Fig. 2.

The galaxy moves backwards from k1 = 0.65 to 0.9 due to lost contrast in the imaging system.

00001_PSISDG10584_1058402_page_2_4.jpg

Fig. 3.

The galaxy moves forwards from k1 = 0.65 to 0.28 by using resolution enhancement techniques.

00001_PSISDG10584_1058402_page_2_5.jpg

Figure 4 compares the UV/DUV galaxy with that of EUV. In the latter case, the galaxy consists of only one constant-wavelength contour with three existing or projected NA values on the contour. Figure 5 shows the UV/DUV galaxy, with double patterning that reduces k1 by a factor of 2, catching up with the EUV galaxy.

Fig. 4.

The EUV galaxy covers better resolution than the UV/DUV galaxy.

00001_PSISDG10584_1058402_page_2_6.jpg

Fig. 5.

With double patterning, the UV/DUV galaxy

00001_PSISDG10584_1058402_page_3_3.jpg

In Figure 6 the k1 of the EUV galaxy is improved from 0.4 to 0.35 that makes it marginally ahead of the UV/DUV galaxy. Unlike the UV/DUV galaxy, it is difficult for EUVL to image at k1=0.35 because of more pronounced stray light and aberrations caused by difficulties in meeting atomic level precisions in the imaging optics for the shorter wavelength. By resorting to triple patterning, the UV/DUV galaxy is further moved ahead of the EUV galaxy as shown in Figure 7.

Fig. 6.

The k1 of the EUV galaxy improves from 0.4 to 0.35 with great difficulty.

00001_PSISDG10584_1058402_page_3_1.jpg

Fig. 7.

With triple patterning, the UV/DUV galaxy moves ahead of the EUV galaxy.

00001_PSISDG10584_1058402_page_3_4.jpg

EUVL can regain its lead by also going double patterning as shown in Figure. 8. It can also be improved by moving up from NA=0.35 to 0.55.

Fig. 8.

The EUV galaxy regains the lead by double patterning.

00001_PSISDG10584_1058402_page_3_2.jpg

Figure 9 compares the two methods to advance EUVL. Double patterning is capable for higher resolution and DOF quantified by the green W-DOF window.

Fig. 9.

In EUVL, double patterning produces better resolution and DOF than raising the NA to 0.55.

00001_PSISDG10584_1058402_page_3_5.jpg

Figure 10 shows the history of lithography3 marked by the intermixing advancement of NA increase, wavelength and k1 reductions, and insertion of immersion lithography which can be viewed as a way of reducing the actinic wavelength in the lens-to-resist space with a high-index media than air, such as water. Table 1 shows the wavelength and k1 employed in manufacturing4 the 180 nm node to 22 nm node. OAI stands for Off Axis Illumination; RB OPC, Rule Based Optical Proximity Correction; HLC, Handcrafted Layout Compensation; AF, Assisted Feature; MB, Model Based; HB, Hybrid Based; DFM, Design For Manufacturing, S2E, Shape to Electric; RDR, Restricted Design Rules.

Fig. 10.

Historical operation points at wavelength, NA, and k1, interrupted with insertion of immersion lithography

00001_PSISDG10584_1058402_page_4_1.jpg

Table 1.

λ and k1 used in manufacturing from the 180 nm node to the 22 nm node.

00001_PSISDG10584_1058402_page_4_3.jpg

4.

LEARNINGS FROM PROGRESSION OF PROJECTION PRINTING SYSTEMS

Lithography started with proximity printing systems where no imaging lens is needed. That makes building exposure tools easy. The 1X nature of proximity printing systems enables it to accommodate the entire wafer field on the mask as long as the mask is larger than the wafer. However defects were a big issue, because the mask is too close to the wafer. Resolution was also reaching limit at 250 nm. The advantage on high resolution and large working distance of projection imaging systems got to be taken advantage of, as Moore’s law continued its march. The field size limit of lenses had to be resolved. 1X full-wafer scanning systems

Many unsuccessful attempts have been made for projection printing systems to replace the proximity printing systems until a breakthrough was achieved by Offner at Perkin Elmer5,6. His imaging concept is illustrated in Figure 11. With two concentric mirrors, Offner pointed out that the mask pattern in a ring zone can be imaged to the area of the wafer in the same ring zone. When the mask and the wafer are scanned in the opposite directions, the wafer can be covered with the entire mask pattern. However, it is mechanically demanding to scan the two pieces precisely in opposite directions. In addition, the industry was dominated by proximity printing making a mirror image of the mask on the wafer.

Fig. 11.

The ring zone of good imaging of two concentric mirrors.

00001_PSISDG10584_1058402_page_4_2.jpg

As a result, experts in Perkin Elmer inserted a 3-fold prism in the optical path, as shown in Figure 12. The first and the second folds enable the mask and the wafer to be placed on two parallel pivoted arms to be moved together in the same direction. The third fold artificially turns the projection image into a mirror image to be compatible with proximity printing. This system was a great success, rapidly replacing proximity printing systems.

Fig. 12.

A 3-fold prism is introduced to enable scanning the mask and the wafer in the same direction and to produce a mirror image.

00001_PSISDG10584_1058402_page_5_1.jpg

So, the first high-volume manufacturing projection system is a 1X all-reflective system using scanning to cover the entire wafer field. At that time the pellicle was not yet invented. The defects from proximity printing was just too overwhelming. People would not be concerned with add-on defects from the wafer accidentally contacting the mask, since the wafer is now much farther away from the mask.

Except for higher cost of the projection tool, projection printing won in all aspects. It retained the advantage of full-wafer field, 1X mask, mirror image, and polychromatic exposure. The latter is unique in projection systems due to all-reflective imaging. There was no longer contact-induced defects. The working distance was enlarged. The alignment system was also easier to implement.

Great success attracted competitors. The Colbilt 3000 mask aligner rode on the same concept of the 1X all-reflective scanning system. The intended improvement was mounting the mask and the wafer on one single rigid plate. Synchronous scanning cannot be easier. In order to achieve that goal, the 3-fold prism was split into three separate plane mirrors each has off-axis angles as shown in Figure 13. The tradeoff turned out to be unfavorable. Managing three separate axes at arbitrary angles was more difficult than rotating the mask and the wafer on two parallel pivoting arms.

Fig. 13.

Lens in the Colbilt 3000 1X all-reflective mask aligner. The mask and wafer are mounted on one rigid plate but the three plain mirrors have separate optical axes.

00001_PSISDG10584_1058402_page_5_2.jpg

Lessons we learned in this section: (1) Using scanning to expand the lens field is a good scheme. (2) Keeping the three mirrors on a single prism is easier to manage than aligning optical elements on different optical axes, especially when it has to be done in the customer’s factory.

4.1

STEP-AND-REPEAT SYSTEMS

A weak point of the concentric mirror arrangement is that it is difficult for the NA to exceed 0.18. Therefore, dioptric or catadioptric systems have to be used to increase the NA for further resolution improvement. The wafer size has been increasing from fraction of an inch to, 2, 3, 4, 5, 6, 8, and 12 inches. Starting at the 6-inch wafer, it was apparent that full-wafer field even at 1X and 0.18 NA was not feasible. Higher NA systems started to step the imaging field repetitively on the wafer. These step-and-repeat systems started to replace the full-wafer field systems for NA larger than 2. As resolution continued to increase, it has become difficult for 1X system to hold on. The 1X mask splits the CD tolerance and DOF evenly with those on the wafer. This is no longer affordable. Table 2 shows the CD tolerance on the wafer as a result of summing up the image tolerance in the resist on the mask and tolerance from etching through the mask absorber using this mask resist image, and the tolerance in the resist on the wafer and the tolerance of etching the wafer using the wafer resist image. The total tolerance if much smaller for a 4X system than that for a 1X system. It is only slightly larger than direct write results. The mask error enhancement factor (MEEF) used is 4. With an impossible system with MEEF=1, the result from a 4X reduction system is even less than that from direct writing on the wafer without a mask.

Table 2.

CD tolerance from mask and wafer tolerances at MEEF = 1.

00001_PSISDG10584_1058402_page_5_3.jpg

In this section, we learned the following: (1) Full-wafer field is no longer feasible. (2) The full lens field is stepped to cover the entire wafer. (3) 1X system is only usable for less critical geometries.

4.2

STEP-AND-SCAN SYSTEMS

Even though the lens field is smaller than the full wafer field, the latter is covered by scanning a slit field across the wafer. When it became difficult to enlarge the lens field any further, scanning is resorted to increase the lens field just as it was used to cover the full wafer. Hence, the step-and-repeat system was replaced by step-and-scan system to enlarge their stepping scan field. Slit scanning was replaced by slot scanning, when dioptric systems can produce a straight slot longer and wider than a curved slit. Figure 14 shows a 0.35NA catadioptric slit field system that was very difficult to align due to multiple optical axes. On the other hand, single-axis systems capable of providing a slot-field has reached sinθ=0.9 as shown7 in Figure 15. Many sinθ=0.93 lenses have been installed in most scanners. Figure 16 shows the curved slit in a ring field and the straight slot field in a circular field. The circular field diameter has exceeded the ring field diameter in around 1988. In addition, the slit field has the inherent disadvantage of requiring a a longer scan distance to cover the same field. Slot field for step-and-scan has replaced slit field ever since, until the advent of EUVL.

Fig. 14.

A 0.35 NA catadioptric system that was very difficult to design and to align due to multiple optical axes.

00001_PSISDG10584_1058402_page_6_1.jpg

Fig. 15.

Single axis dioptric systems up to sinθ=0.9. 0.93 is now installed in most advanced scanners.

00001_PSISDG10584_1058402_page_6_2.jpg

Fig. 16.

The slot in a circle field surpassed the size of the slit from a ring field in 1988.

00001_PSISDG10584_1058402_page_6_3.jpg

Table 2.

CD tolerance from mask and wafer tolerances at Mask Error Enhancement Factor (MEEF) = 4.

00001_PSISDG10584_1058402_page_6_4.jpg

In this section, we learned: (1) Scanning is used to extend the lens field. (2) Slot scanning is better than slit scanning, (3) Systems with multiple optical axes are inferior to systems with single optical axis.

5.

LESSON LEARNED FOR EUV SCANNERS

The ultimate imaging system is the EUV step-and-scan tool. It has the opportunity to learn from previous successful systems to adapt the good features and forsake the bad ones. However there are difficulties to take full advantage of that opportunity. Let’s consider the pros and cons on EUV from the historical perspective.

(1) It is good that the 4X reduction concept is adopted. It provides a great leverage for control of CD and overlay accuracy. In addition, the mask is easier to make than 1X masks. When EUVL takes over triple patterning of ArF immersion lithography, the pitch on the mask has to be reduced by a factor of 23/2 in a single node instead of in steps of 21/2. This is from 4X immersion mask to 4X EUV mask. If EUVL used a 1X system, the improvement factor would have jumped by another factor of 4. For 4X EUV mask, the absorber height is already multi-wavelength. If a 1X system were used, the 3D mask effect would be even worse.

(2) It is also good that the step-and-scan principle is retained. There is no other perceivable way to cover the wafer at high throughput without step and scan.

(3) The all-reflective system seems to have made a comeback. However, unlike the all-reflective system of the Perkin Elmer mask aligner, the all-reflective EUV system is a handicap. In the former case, there is transparent material for the mask substrate; thus, on-axis illumination can be used for the mask. In the latter case, EUVL is a 100% reflective system including the mask, making it necessary to illuminate the mask obliquely, inducing shadowing effects, non-telecentricity, and asymmetry in x and y.

(4) To make an all-reflective system with 0.33 NA is a tremendous achievement, even more so for 0.55 NA. It was already quite demanding to make a 0.35 NA system at 248 nm wavelength. Maintaining and even exceeding the previous NA ceiling is admirable. However, for such NA, maintaining a single optical axis is impossible. For the 0.33 NA system, six optical axes are used for the imaging part and another five are used for illumination as shown in Figure 17. It is not as bad as the cases in Figures 13 and 14, because all the six axes are parallel to each other, but not as good as using just one single optical axis.

Fig. 17.

The multi-axis EUV lens system. There are six axes for imaging; and five, for illumination.

00001_PSISDG10584_1058402_page_7_1.jpg

(5) Initially, lithographers lamented the loss of the well trusted pellicle8 faithfully used since 1978, to reduce defects caused by particles falling on the mask. With no transparent membrane for EUV light. It does not seem possible to have a EUV pellicle to protect the mask which is even in greater need for protection because electrostatic chucking tends to attract more particles. Previous learning in optical lithography and EUV test runs in the factory indicate that there are indeed particles attached to the EUV mask after several hundred passes, confirming the need of pellicles just as in optical lithography. Recently, great efforts have been made to develop EUV pellicles9 by making Si membranes of thickness in the order of 10 nm. These membranes transmit EUV light in the order of 85~90%, removing the valuable EUV light by 19~28% due to the two passes through the membrane required of the reflective mask. This is a two-fold problem. Not only valuable light is not used for exposure of the resist, the light absorbed by the pellicle heats it up to weaken or break it. Great efforts must be used to prevent hot spots that can destroy the pellicle. When there is a pellicle breakage, the randomly scattered debris could be even more undesirable than particles on the mask. When particles are attached to the pellicle, hot spots can be formed to cause breakage. It is more advantageous to reduce fallen particles than to introduce pellicles. The lesson learned in optical lithography does not apply.

(6) Because of multi optical axes required of reflective optics, slot scanning is no longer possible. Slit scanning has to be used. It causes extras scanning distance but not a severe issue.

6.

LESSON LEARNED FOR NANOIMPRINT SYSTEMS

The nanoimprint system uses a patterned mold to selectively confine an etch-resistant material in liquid form on the surface of the wafer. When the mold is completely filled with the liquid and is rid of bubbles, the liquid is hardened and the mold is carefully pulled from the wafer to leave a 3D nanometer-size image on the wafer for further processing such as etching. It promises infinite resolution that is only limited by the mold and the grain size of the resistant material. The concerns are defects from intimate contact; time and care needed to fill the mold without excess, gaps, or bubbles; time and care to separate the mold and the resist material after hardening; in other words, the limits are in wafer throughput and yield.

We now apply the lessons we learned. (1) 1X system forfeits the leverage of reduction systems in CD control and overlay accuracy. Even though the nanoimprint system may have an advantage in wafer CD control. (2) 1X 3D templates are difficult to make. (3) There is no possibility to protect the mold with a pellicle. (4) Proximity printing failed from small working distance and gave way to projection printing which has acceptable working distance. Nanoimprint has to accommodate a negative working distance; therefore, even more vulnerable to defects.

7.

LITHOGRAPHY FOR CHALLENGES OTHER THAN RESOLUTION

With feature size approaching single-digit nanometer, the CD and overly tolerances are in the single-angstrom regime. Metrology would require precision in fraction of an angstrom. Acquiring these accuracies is increasingly lithography that can provide better leverage. For example, in fabrication of MEMS devices, there is often a need to image features largely separated vertically. The usual practice is to use two masks and run the wafer through twice with refocussing, costing time and money. There is no problem in resolution but insufficient vertical image separation is the issue. A possible solution10 is shown in Figure 18 where a structured mask is made by stacking two masks together in the right way that light through the absorber always passes the mask substrate once. This way, the imaging lens can be corrected for the same aberration caused by the mask substrate in the optical path.

Fig. 18.

Stacked masks to image two vertically separated masks in one pass.

00001_PSISDG10584_1058402_page_8_1.jpg

8.

METALENS MADE WITH LITHOGRAPHY

The metalens has caught attention in the academia. Progress has been frequently made and published in repudiated journals11, 12. Metalenses are constructed with subwavelength scatterers, i.e. optical antennas, in shape, size, and orientation. These antennas can produce spatially varying optical response to perform as lens or any other optical elements that can change the incoming wavefront at will. Lithographic techniques used to made single digit nanometer circuit elements can be applied for making imaging components such as the lenses in smart phones and many other optical components such as metrological devices and sensors. When sufficient progress is made, the expensive imaging lenses in lithographic exposure tools may even be replaced by metal lenses.

Figure 19 shows a transmissive metalens made with e-beam lithography13. Each lens is 50 μm in diameter consisting of nano-pillars and nano-trenches whose length is in the order of 80~165 nm; and width, 45 to 110 nm. Figure 20 shows the processing steps used to make this metalens. All the materials and processes used are available from semiconductor processing. MOCVD stands for Metal Organic Chemical Vapor Deposition; EBL, e-beam lithography; ICP, Inductively Coupled Plasma; RIE, Reactive Ion Etching; BOE Buffered Oxide Etch. Figure 21 shows the experimental result of the 0.106 NA metalens with and without correction of chromatic aberrations.

Fig. 19.

A transmissive metalens consisting of nano-pillars and nano-trenches. The lens in 50 μm in dia. The pillars and trenches between 4 and 165 nm in size.

00001_PSISDG10584_1058402_page_9_1.jpg

Fig. 20.

Processing steps of the metalens shown in Fig. 19. All processing steps are typical of semiconductor processing.

00001_PSISDG10584_1058402_page_9_2.jpg

Fig. 21.

Uncorrected color corrected images with bandwidth from 400 to 660 nm.

00001_PSISDG10584_1058402_page_9_3.jpg

9.

CONCLUDING REMARKS

History often repeats for better or worse. We saw from history, the moving backward and forward of the lithography galaxy by adopting various k1 factors. We then use the galaxy to predict the limits of UV/DUV single and multiple patterning with that of EUV single and multiple patterning. We learned from theory and practice, the leverage of reduction imaging systems. This system persists. All-reflective systems helped projection printing win over proximity printing but it gave way to dioptric and catadioptric systems due to higher achievable NA from these systems. The all-reflective system became the desirable system again when the wavelength was reduced to EUV because there is no transparent material in this wavelength. Unlike the previous winner, this all-reflective system is problematic because it needs oblique illumination on the mask and because of its lossy mirrors. Scanning has proven to be a good way to trade mechanical precision for lens field. Multiple optical axes has been difficult to manage. It is unfortunate that the latest step-and-scan exposure tool has to deal with multiple optical axes. The pellicle has been an effective safeguard for defects from fall-on particles. It is ironic that the latest lithography technology has to struggle with usage of pellicles as history repeats.

One may wonder when scaling will stop. It will stop soon, most likely by unaffordable cost than by physical infeasibility. But, this will not be the end of the world. People will find more profitable ways to use lithography, such as making MEMS devices or metalenses. There will be more effective ways to improve computing than just scale the IC by brute force such as scaling.

References

1 

Lin, B., “Where is the Lost Resolution?,” in Proc. SPIE, 44 –50 (1986). Google Scholar

2 

Lin, B., “The λ/NA scaling equations for resolution and depth-of-focus,” in Proc. SPIE, 759 (2000). Google Scholar

3 

Lin, B., “Making lithography work for the 7-nm node and beyond,” Microelectronic Engineering, 143 91 –101 (2015). https://doi.org/10.1016/j.mee.2015.04.033 Google Scholar

4 

Lin, B., “Progress and outlook of lithography for semiconductor IC,” in Proc. CICC, 2009 (2009). Google Scholar

5 

Hemstreet, H., Markle, D., Newell, W., Offner, A., “Optical Projection Apparatus,” US Patent 4,011,011 (1977)

6 

Markle, D., “A new projection printer,” Solid State Technology, 50 (1974). Google Scholar

7 

Ulrich, W., Rostalski, H., Hudyma, R., “Development of dioptric projection lenses for deep ultraviolet lithography at Carl Zeiss,” J. of Microlithography, MEMS, and MOEMS, 3 (1), 87 –96 (2004). https://doi.org/10.1117/1.1637592 Google Scholar

8 

Shea, V., Wojcik, W., “Pellicle cover for projection printing systems,” US Patent 4,131,363 (1978)

9 

Brouns, D., “NXE pellicle: offering an EUV pellicle solution to the industry,” in Proc. SPIE, 97761Y (2016). Google Scholar

10 

Lin, B., “Can MEMS take advantage of advances in semiconductor lithography?,” in Proc. of the 23rd IEEE International Conference on MEMS, (2010). https://doi.org/10.1109/MEMSYS.2010.5442578 Google Scholar

11 

Yu, N., Capsso, F., “Flat optics with designer metasurfaces,” Nature Materials, 13 139 –150 (2014). https://doi.org/10.1038/nmat3839 Google Scholar

12 

Khorasaninejad, M., “Metalenses at visible wavelengths: Diffraction limited focusing and subwavelength resolution imaging,” Science, 352 1190 –1194 (2016). https://doi.org/10.1126/science.aaf6644 Google Scholar

13 

Wang, S., “A broadband achromatic metalens in the visible,” Nature Nanotechnology, 13 227 –232 (2018). https://doi.org/10.1038/s41565-017-0052-4 Google Scholar
© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Burn J. Lin "Innovating from History", Proc. SPIE 10584, Novel Patterning Technologies 2018, 1058402 (21 January 2019); https://doi.org/10.1117/12.2305526
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Semiconducting wafers

Extreme ultraviolet

Imaging systems

Lithography

Printing

Pellicles

RELATED CONTENT

ZEISS AIMS EUV high NA for actinic mask review for...
Proceedings of SPIE (January 01 1900)
High-NA EUV lithography: pushing the limits
Proceedings of SPIE (August 29 2019)
Static EUV micro-exposures using the ETS Set-2 optics
Proceedings of SPIE (June 16 2003)

Back to Top