Paper
10 October 2018 Improvement of CD stability and defectivity in resist coating and developing process in EUV lithography process
Author Affiliations +
Abstract
EUV lithography will to be brought into mass production soon. To enhance the yield, improvements in critical dimension (CD) stability, and defectivity still remain of utmost importance. In order to enhance the defectivity ability on contact hole (CH) pattern, continuous work has been executed.1 On 24 nm contact hole half pitch pattern, residue and single-closed hole modes still remain the majority of defects. The main cause of residue defects is that water droplets from the rinse process, in which resist components are absorbed, are dried out on the wafer leaving a remaining residue. While probable causes of single-closed hole are particles included in the coating material or stochastic failures. To reduce the residue defect counts and single-closed hole as caused by in-film particles, optimization of rinse process and material supply system have been carried out. As the result, 97 % of residue defect reduction and 73 % of single-closed hole defect improvement have been achieved as compared with conventional processes. On the other hand, not only coater/developer but also EUV scanner, mask, resist, etc. contribute to the CD variation.1 Global CD uniformity (CDU) is comprised of several components such as wafer-to-wafer CDU, field-to-field one, withinfield one. In this paper, optimization of development processes has been executed to improve field-to-field and within field CDU components. As a result of the optimization, 14 and 6.4 % of field-to-field and within-field CDU improvement have been achieved, respectively.
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Yuya Kamei, Shinichiro Kawakami, Masahide Tadokoro, Yusaku Hashimoto, Takeshi Shimoaoki, Masashi Enomoto, Kathleen Nafus, Akihiro Sonoda, and Philippe Foubert "Improvement of CD stability and defectivity in resist coating and developing process in EUV lithography process", Proc. SPIE 10809, International Conference on Extreme Ultraviolet Lithography 2018, 1080924 (10 October 2018); https://doi.org/10.1117/12.2500896
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Particles

Critical dimension metrology

Extreme ultraviolet lithography

Coating

Photoresist processing

Semiconducting wafers

Stochastic processes

Back to Top