Paper
26 March 2019 Overlay error investigation for metal containing resist (MCR)
Author Affiliations +
Abstract
Metal containing resists (MCR) are one of the candidates for Extreme Ultraviolet (EUV) resists aiming to achieve the resolution, linewidth roughness and sensitivity (RLS) requirements of advanced design nodes. MCRs intrinsically have high etch resistance owing to their metal content. Therefore, low resist thickness (~18nm) is sufficient to transfer resist patterns into an underlying hard mask. Also, the thin resist reduces susceptibility to pattern collapse during development because of low aspect ratio. However, thus far little attention has been paid to optical metrology and inspectability (overlay, defect inspection, scatterometry, etc.) of these resists, which is another critical requirement to move MCR toward high volume manufacturing (HVM).

In this paper, we investigate overlay metrology and overlay correction with MCR. Even though the optical contrast for MCR is slightly lower than for chemically amplified resist (CAR) it seemed sufficient for high-quality overlay metrology. However, the measurement precision for MCR is deteriorated compared to that for CAR, resulting in significantly higher residuals. The root cause of the deteriorated measurement precision was found in grains in the optical image after MCR development. Interestingly, the after etch performance of CAR and MCR is identical. We demonstrate that with sufficient sampling, appropriate correctables can be extracted from the MCR overlay results. Finally, we discuss how the increased image noise can be compensated by the applied sampling scheme.
© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Roel Gronheid, Satomi Higashibata, Onur Demirer, Yusuke Tanaka, Dieter Van den Heuvel, Ming Mao, Masaru Suzuki, Satoshi Nagai, Waikin Li, and Philippe Leray "Overlay error investigation for metal containing resist (MCR) ", Proc. SPIE 10959, Metrology, Inspection, and Process Control for Microlithography XXXIII, 1095905 (26 March 2019); https://doi.org/10.1117/12.2516154
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Overlay metrology

Semiconducting wafers

Metals

Scanners

Etching

Optical filters

Extreme ultraviolet lithography

RELATED CONTENT

ASML's NXE platform performance and volume introduction
Proceedings of SPIE (April 01 2013)
Improvements in resist performance towards EUV HVM
Proceedings of SPIE (March 27 2017)
Imaging based overlay metrology optimized by HV SEM in 3D...
Proceedings of SPIE (February 22 2021)
Diffraction based overlay re-assessed
Proceedings of SPIE (April 20 2011)
Characterization of a 0.25NA full-field EUV exposure tool
Proceedings of SPIE (March 19 2009)

Back to Top