Open Access Paper
23 May 2019 Front Matter: Volume 10960
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 10960, including the Title Page, Copyright information, Table of Contents, Author and Conference Committee lists.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in Advances in Patterning Materials and Processes XXXVI, edited by Roel Gronheid, Daniel P. Sanders, Proceedings of SPIE Vol. 10960 (SPIE, Bellingham, WA, 2019) Sevendigit Article CID Number.

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510625679

ISBN: 9781510625686 (electronic)

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445

SPIE.org

Copyright © 2019, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/19/$ 18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00006_PSISDG10960_1096001_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model. A unique citation identifier (CID) number is assigned to each article at the time of publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online and print versions of the publication. SPIE uses a seven-digit CID article numbering system structured as follows:

  • The first five digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript.

Authors

Numbers in the index correspond to the last two digits of the seven-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first five digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

Arisawa, You, 1A

Asai, Masaya, 1A

B. Carballo, V. M., 0L

Babin, Sergey, 09

Baderot, J., 0Z

Bates, Christopher M., 0Q

Baudot, S., 0N

Bayana, Hareen, 1Y

Bernard, G., 0Z

Biesemans, Serge, 0A

Borisov, Sergei, 09

Braggin, J., 17

Brainard, Robert L., 10, 1Q

Breaux, Caleb L., 1L

Brown, A. G., 1B

Cameron, James F., 13, 19

Carcasi, Michael, 0A

Chen, Lawrence, 19

Chevalier, X., 0Z

Cho, Maenghyo, 08

Choi, Sungho, 1D

Chung, Hua, 26

Coley, Suzanne, 19

Cui, Li, 19

Cutler, Charlotte, 0I

Dai, Junyan, 1J

D’Ambra, Colton, 0Q

Dawson, G., 1B

De Bisschop, Peter, 0A

De Simone, Danilo, 09, 0A

de Villafranca, Glen, 27

Decoster, S., 0L

Dei, Satoshi, 25

Delony, Jakin B., 1M

Demuynck, S., 0N

Denbeaux, Greg, 10, 1Q

Derville, A., 0Z

DeSisto, Jason, 0I

Dinh, Cong Que, 0A

Doise, Jan, 0Y

Echigo, Masatoshi, 24

Ekinci, Yasin, 0B, 0C

Eller, Michael J., 0G

Ellison, Christopher J., 0Y

Enomoto, Masashi, 1P

Enomoto, Satoshi, 0D

Ervin, J., 0N

Fallica, Roberto, 09

Foltz, Benjamin, 19

Foubert, Philippe, 0A

Foucher, J., 0Z

Gädda, Thomas, 0B

Gey, G., 0Z

Giannelis, Emmanuel P., 15, 1R

Gjoka, Alketa, 1V, 1X

Goel, V., 17

Greene, Dan, 19

Grzeskowiak, Steven, 1Q

Han, Miyeon, 1D

Hara, Arisa, 0K, 1N

Harumoto, Masahiko, 1A

Hasan, Shaheen, 10, 1Q

Hawker, Craig J., 0Q

He, Xiaobin, 1J

Heitsch, Andrew T., 0R, 23

Henderson, Clifford L., 1L, 1M

Heo, Yumi, 1D

Ho, Victor, 23

Hoarfrost, Megan L., 23

Hopf, T., 0N

Horiuchi, Junya, 24

Hou, Xisen, 0G

Ide, Hiroyuki, 0A

Ido, Yasuyuki, 0W

Isono, Takuya, 0U

Ito, Kiyohito, 1P

Jaber, Jad, 1V, 1X

Jain, Vipul, 22

Javey, Ali, 23

Jeong, Changyoung, 08

Jung, Seok-Heon, 12, 15

Kähkönen, Oskari, 0B

Kaitz, Joshua, 19, 22

Kamei, Yuya, 0A

Kaneko, Fumiya, 1V, 1X

Karaste, Kimmo, 0B

Kasai, Tatsuya, 25

Katsumata, Reika, 0Q, 0R, 23

Kauppi, Emilia, 0B

Kawaguchi, Yukio, 1I

Kazazis, Dimitrios, 0B, 0C

Ke, Iou-Sheng, 19, 22

Kearns, Kenneth, 19

Kesters, E., 0L

Kim, Ji Yeon, 0Y

Kim, Kanghyun, 12

Kim, Muyoung, 08

Kim, Youngmin, 1D

Kitano, Takahiro, 0W

Kobayashi, Shinji, 1P

Koh, Jai Hyun, 0Y

Kohyama, T., 1V, 1X

Kondo, Yoshihiro, 0A

Kosaka, Terumasa, 1I

Kosma, Vasiliki, 1R

Kozawa, Takahiro, 0D

Kwok, Amy, 22

LaBeaume, Paul, 19

Lada, T., 1B

Laukkanen, Markus, 0B

Lazzarino, F., 0L

Lee, Byunghoon, 08

Lee, Choong Bong, 0I

Lee, Hyungwoo, 08

Lee, Jin-Kyun, 12

Lee, Sangsul, 12

Li, Haochen, 26

Li, Jiajing, 0V

Li, Mingqi, 0G, 0R, 22, 23

Lim, Sanghak, 1D

Limary, Ratchana, 0R, 23

Liu, Cong, 22

Liu, Weichen, 1G, 1J

Long, Luke, 11

Lopez, Gerald, 27

Lorant, C., 0L

Lorusso, Gian, 09

Lu, Xinliang, 26

Ludovice, Peter J., 1L, 1M

Luong, Nguyen Dang, 0B

Ma, Shawming, 26

Machida, Kohei, 0D

Mack, Chris, 0I

Makinoshima, Takashi, 24

Mamiya, Hiroaki, 0U

Mannaert, Geert, 0V, 0Y

Mao, Guoping, 1J

Martinez, S., 0Z

Matsuki, Ryota, 1I

Matsumoto, Yoko, 21

McClelland, A. L., 0C, 1B

Meliorisz, Balint, 0A

Meng, Lingkuan, 1G

Miki, Yasushi, 24

Milenin, A. P., 0N

Minami, Hiroko, 21

Minekawa, Yukie, 0A

Miura, K., 1X

Miyagi, Ken, 0U

Mizuno, Takehito, 18

Montgomery, W., 1B

Moon, Junghwan, 08

Morikita, Shinya, 1P

Morita, Kazuyo, 1A

Motono, Tomohiro, 1A

Mun, Jeong-Seok, 12

Murakami, Tetsuya, 18

Muramatsu, Makoto, 0W

Murphy, Michael, 10, 1Q

Nafus, Kathleen, 0A

Nagahara, Seiji, 0A

Nakagawa, Hisashi, 18

Nakashima, Hideo, 0A

Nakayama, Chisayo, 1A

Nannarone, Stefano, 09

Naulleau, Patrick P., 11

Nealey, Paul F., 0V

Nelson, John, 0I

Neureuther, Andrew R., 11

Ngunjiri, Johnpeter, 19

Nishi, Takanori, 0W

Nishino, Tomoki, 21

Novak, Steven, 10

Ober, Christopher K., 15, 1R

O’Callaghan, G., 0C

Ogaki, Ryosuke, 1I

Oh, Hyuntaek, 12

Okada, Soichiro, 1P

Pan, Wenyang, 15

Park, James, 22

Park, Jong, 22

Park, Soyeon, 1D

Park, Sungwoo, 08

Petersen, John S., 0A

Pieczulewski, Charles, 1A

Popere, Bhooshan C., 0R, 19, 23

Popescu, C., 0C

Ran, Ruicheng, 1J

Rantala, Juha, 0B

Rena, Rochelle, 0I

Rezvani, Seyed Javid, 09

Rincon-Delgadillo, Paulina, 0V, 0Y

Robinson, Alex P. G., 0C, 1B

Roth, J., 0C

Sakai, Kazunori, 15, 1R

Sakai, Tatsuya, 25

Sato, Takashi, 24

Satoh, Toshifumi, 0U

Schweikert, Emile A., 0G

Segalman, Rachel A., 0Q, 0R, 23

Sekiguchi, Atsushi, 21

Seko, Tomoaki, 25

Serizawa, Ryuuichi, 25

Shao, Grant, 27

Shimada, Ryo, 0A

Shimura, Satoru, 1P

Shin, Seungwook, 1D

Shiraishi, Gosuke, 0A

Shiu, Eric, 18

Soussou, A., 0N

Stock, Hans-Jürgen, 0A

Stokes, Harold, 1A

Suh, Hyo Seon, 0V, 0Y

Sung, Jin Wuk, 22

Takei, Kiniharu, 23

Takeshita, Kazuhiro, 0A

Tamaddon, A.-H., 0L

Tanaka, Hiroki, 1A

Tanaka, Yasuaki, 1A

Tanaka, Yuji, 1A

Thackeray, James W., 0I, 13

Theis, W., 0C

Thompson, Andrew, 27

Tomono, Masaru, 0A

Trefonas, Peter, 0G, 0I, 0R, 23

Tsuzuki, Shuichi, 18

Ueno, Masayoshi, 24

Umeda, Toru, 18

Vandenberghe, Geert, 09, 0A, 0Y

Vangoidsenhoven, D., 0L

Verkhoturov, Stanislav V., 0G

Vincent, B., 0N

Wang, S., 0N

Weckx, P., 0N

Wei, Yayi, 1J

Weires, Maximilian, 1Q

Willson, C. Grant, 0Y

Wong, Sabrina, 19

Wu, Aiwen, 17, 1U, 1Y

Wu, Janet, 22

Wu, Xin, 1J

Xia, Annie, 1U, 1Y

Xie, Ting, 26

Xu, Cheng Bai, 13

Xu, Hong, 1R

Yaegashi, Hidetami, 0K, 1N

Yamada, Koichi, 24

Yamada, Shintaro, 19

Yamamoto, Kimiko, 1A

Yamazaki, Akiyoshi, 0U

Yang, Kou, 1R

Yang, Michael, 26

Yoon, Byeri, 1D

Yoshida, Kohei, 0U

Yoshida, Yuichi, 0A

Yoshihara, Kosuke, 0A

Yoshimura, Shota, 1P

Yoshino, Takumi, 0D

You, Gen, 0W

Zhang, Baolin, 1G, 1J

Zhang, Keren, 19

Zhang, Lei, 19

Zhang, Libin, 1J

Zhang, Meiyue, 27

Zhang, Qi, 26

Zhang, Yuanyi, 0Q, 0R, 23

Zhang, Zhengping, 1G

Zhu, Qingjun, 0Y

Conference Committee

Symposium Chair

  • Will Conley, Cymer, an ASML Company (United States)

Symposium Co-chair

  • Kafai Lai, IBM Thomas J. Watson Research Center (United States)

Conference Chair

  • Roel Gronheid, KLA-Tencor/ICOS Belgium (Belgium)

Conference Co-chair

  • Daniel P. Sanders, IBM Research - Almaden (United States)

Conference Program Committee

  • Robert Allen, IBM Research - Almaden (United States)

  • Gilles R. Amblard, SAMSUNG Austin Semiconductor LLC (United States)

  • Ramakrishnan Ayothi, JSR Micro, Inc. (United States)

  • Ryan Callahan, FUJIFILM Electronic Materials U.S.A., Inc. (United States)

  • Joy Y. Cheng, Taiwan Semiconductor Manufacturing Company Ltd. (Taiwan)

  • Ralph R. Dammel, EMD Performance Materials Corporation (United States)

  • Anuja De Silva, IBM Corporation (United States)

  • Danilo De Simone, IMEC (Belgium)

  • Douglas J. Guerrero, Brewer Science, Inc. (United States)

  • Clifford L. Henderson, University of South Florida (United States)

  • Craig D. Higgins, GLOBALFOUNDRIES Inc. (United States)

  • Christoph K. Hohle, Fraunhofer-Institut für Photonische Mikrosysteme (Germany)

  • Scott W. Jessen, Texas Instruments Inc. (United States)

  • Yoshio Kawai, Shin-Etsu Chemical Company, Ltd. (Japan)

  • Qinghuang Lin, ASML US, Inc. (United States)

  • Nobuyuki N. Matsuzawa, Panasonic Corporation (Japan)

  • Steve S. Putna, Intel Corporation (United States)

  • Mark H. Somervell, Tokyo Electron America, Inc. (United States)

  • Jason K. Stowers, Inpria (United States)

  • James W. Thackeray, Dow Electronic Materials (United States)

  • Raluca Tiron, CEA-LETI (France)

  • Rick Uchida, Tokyo Ohka Kogyo America, Inc. (United States)

  • Thomas I. Wallow, ASML Brion Technologies (United States)

Session Chairs

  • Opening Remarks and Award Announcements

  • Roel Gronheid, KLA-Tencor/ICOS Belgium (Belgium)

  • Daniel P. Sanders, IBM Research - Almaden (United States)

  • 1 Keynote Session

    Roel Gronheid, KLA-Tencor/ICOS Belgium (Belgium)

    Daniel P. Sanders, IBM Research - Almaden (United States)

  • 2 Inorganic Resists: Joint Session with Conferences 10960 and 10957

    Robert L. Brainard, SUNY CNSE/SUNYIT (United States)

    Jason K. Stowers, Inpria Corporation (United States)

  • 3 Stochastics and Exposure Mechanisms: Joint Session with Conferences 10960 and 10957

    Marie Krysak, Intel Corporation (United States)

    Thomas I. Wallow, ASML San Jose (United States)

  • 4 EUV Resists

    Danilo De Simone, IMEC (Belgium)

    Anuja De Silva, IBM Corporation (United States)

  • 5 Resist Fundamentals

    Nobuyuki N. Matsuzawa, Panasonic Corporation (Japan)

    Douglas J. Guerrero, Brewer Science, Inc. (Belgium)

  • 6 Integration

    Yoshio Kawai, Shin-Etsu Chemical Co., Ltd. (Japan)

    Ryan Callahan, FUJIFILM Electronic Materials U.S.A., Inc. (United States)

  • 7 Monolayer Materials in Device Fabrication

    Ralph R. Dammel, EMD Performance Materials Corporation (United States)

    James W. Thackeray, Dow Electronic Materials (United States)

  • 8 Directed Self-assembly I: Joint Session with Conferences 10960 and 10958

    Raluca Tiron, CEA-LETI (France)

    Ricardo Ruiz, HGST, Inc. (United States)

  • 9 Directed Self-assembly II: Defectivity

    Mark H. Somervell, Tokyo Electron America, Inc. (United States)

    Douglas J. Guerrero, Brewer Science, Inc. (United States)

  • 10 Student Session

    Scott W. Jessen, Texas Instruments Inc. (United States)

    Robert D. Allen, IBM Research - Almaden (United States)

    Poster Preview Speed Talks

    Scott W. Jessen, Texas Instruments Inc. (United States)

    Robert D. Allen, IBM Research - Almaden (United States)

  • 11 Material Supplier

    Christoph K. Hohle, Fraunhofer-Institut für Photonische Mikrosysteme (Germany)

    Gilles R. Amblard, SAMSUNG Austin Semiconductor LLC (United States)

  • 12 Underlayers

    Ryusuke Uchida, Tokyo Ohka Kogyo America, Inc. (United States)

    Ramakrishnan Ayothi, JSR Micro, Inc. (United States)

    Tribute to C. Grant Willson (retiring in 2019)

    Qinghuang Lin, ASML US, Inc. (United States)

    Roel Gronheid, KLA-Tencor/ICOS Belgium (Belgium)

© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 10960", Proc. SPIE 10960, Advances in Patterning Materials and Processes XXXVI, 1096001 (23 May 2019); https://doi.org/10.1117/12.2532428
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Image processing

Directed self assembly

Stochastic processes

Carbon

Electron beam lithography

Optical lithography

Back to Top