Presentation
18 March 2019 Isotropic atomic layer etching of ZnO using acetylacetone and O2 plasma (Conference Presentation)
Alfredo Mameli, Marcel A. Verheijen, Adrie Mackus, Fred Roozeboom, Erwin W.M. M. Kessels
Author Affiliations +
Abstract
The continuous driving force from the semiconductor industry for realizing smaller features and device structures with higher density and higher-aspect ratio poses increasing challenges in traditional etching techniques. Atomic layer etching (ALE) provides the opportunity to overcome the shortcomings of more conventional etching processes. Extensive research in this field has led to the development of two main classes: plasma ALE to achieve anisotropic etching and thermal ALE for the isotropic counterpart. Besides anisotropic etching, plasma can also be applied for achieving isotropic etch profiles. Yet, this process option has not been explored extensively. In this work, we demonstrate isotropic ALE of ZnO on a regular array of vertical nanowires, using the alternated doses of O2 plasma and acetylacetone (Hacac). A linear ZnO thickness decrease with increasing number of cycles was measured by in-situ spectroscopic ellipsometry, and saturation behavior was demonstrated for both Hacac and O2 plasma, at 250 oC. The etch rates per cycle were found to increase from 0.5 to 1.3 Å/cycle with process temperature increasing from 100 to 250 oC. Transmission electron microscopy (TEM) studies conducted on ZnO-coated nanowires before and after ALE proved the isotropic character of the process. Moreover, the ALE process produced no surface damage as concluded from high resolution TEM inspection. In-situ infrared spectroscopy measurements were conducted to elucidate the mechanism underlying self-limited etching. Based on these measurements, a preliminary reaction mechanism is proposed, in which Zn(acac)2 is assumed to be the etching product and persisting acac-species on the ZnO surface provide the self-limiting character. Finally, a high etch selectivity over SiO2 and HfO2, and the possibility to extend the process to other oxides such as Al2O3 are also demonstrated. We believe that this plasma-based ALE process represents a valuable addition to the ALE toolbox and opens-up new possibilities for using plasma-processing to achieve isotropic etch profiles. Furthermore, similar approaches can be used to extend the process to other materials by tuning the plasma chemistry accordingly.
Conference Presentation
© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Alfredo Mameli, Marcel A. Verheijen, Adrie Mackus, Fred Roozeboom, and Erwin W.M. M. Kessels "Isotropic atomic layer etching of ZnO using acetylacetone and O2 plasma (Conference Presentation)", Proc. SPIE 10963, Advanced Etch Technology for Nanopatterning VIII, 109630F (18 March 2019); https://doi.org/10.1117/12.2514645
Advertisement
Advertisement
KEYWORDS
Etching

Plasma

Focus stacking software

Zinc oxide

Isotropic etching

Oxygen

Anisotropic etching

Back to Top