Presentation
16 October 2019 Imec's defect reduction strategies for EUV single exposed 32nm pitch line and space patterns (Conference Presentation)
Philippe Foubert, Paulina Rincon
Author Affiliations +
Abstract
Implementation of extreme ultra violet lithography (EUVL) for printing features of dimensions around 32nm and below has faced multiple challenges that have been overcome mostly through tool and process engineering. Currently, the main concern for implementation of EUVL in high volume manufacturing is related to stochastic defects, such as line bridges or breaks, which may occur randomly and have an impact on device performance.1 Photon shot noise, in addition to the inherent process variability and material non-homogeneities can lead to defects on the final patterned structures. As the pitch and critical dimension (CD) approach 32nm pitch and below, the dependency of stochastics defects increases exponentially. This paper fits within imec’s EUV stochastics and defectivity program, which investigates the key parameters for the generation and mitigation of defects from both a theoretical and practical approach. Initially, a reference process has been established and a methodology has been developed to capture and characterize bridge-type defects after pattern transfer into relevant layers for metrology and applications. Optical inspection and scanning electron microscopy (SEM) review are used to collect information on the number and types of defects present over large patterned areas (in the order of cm2). With a well-defined inspection technique, experiments related to fundamentals as well as materials and processes are continuously run. In the past years, imec has been leading the fundamental work on stochastic defects.2,3 De Bisschop et al. identified the “defect cliff”, or the exponential dependency of defect density as a function of space CD for multiple parameters, such as resist formulation and exposure/imaging conditions. More recently, the focus has changed to the investigation of the “defect floor”, which as the name suggests, is a flat response of defects to CD. The defect floor suggests that defectivity cannot be further improved, making one of the most relevant problems to solve for implementation of EUV in high volume manufacturing (HVM). Our results show a decomposition of the potential contributors to explore the effect of processing conditions related to scanner, track and pattern transfer on final defect density for a chemically amplified resist and attempt a comparison to a metal-oxide resist. Goal is to understand the contributors to the defect floor and identify an effective route to avoid/minimize defect formation. References 1. Da Silva A., et al., “Fundamentals of resist stochastics effect for single-expose EUV patterning”, Proc. SPIE, Extreme Ultraviolet (EUV) Lithography X, Vol. 10957 (2019) 2. De Bisschop P., et al., “Impact of stochastic effects on EUV printability limits”, Proc. SPIE 9048, Extreme Ultraviolet (EUV) Lithography V, 904809 (2014) 3. De Bisschop, P., “Stochastic printing failures in extreme ultraviolet lithography”, JM3-Journal of Micro/Nanolithography, MEMS, AND MOEMS 17(4), (2018)
Conference Presentation
© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Philippe Foubert and Paulina Rincon "Imec's defect reduction strategies for EUV single exposed 32nm pitch line and space patterns (Conference Presentation)", Proc. SPIE 11147, International Conference on Extreme Ultraviolet Lithography 2019, 1114715 (16 October 2019); https://doi.org/10.1117/12.2539592
Advertisement
Advertisement
KEYWORDS
Extreme ultraviolet lithography

Extreme ultraviolet

Stochastic processes

Critical dimension metrology

Lithography

High volume manufacturing

Materials processing

RELATED CONTENT


Back to Top