Open Access Paper
25 October 2019 Front Matter: Volume 11148
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 11148, including the Title Page, Copyright information, Table of Contents, Author and Conference Committee lists.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in Photomask Technology 2019, edited by Jed H. Rankin, Moshe E. Preil, Proceedings of SPIE Vol. 11148 (SPIE, Bellingham, WA, 2019) Seven-digit Article CID Number.

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510629998

ISBN: 9781510630000 (electronic)

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445

SPIE.org

Copyright © 2019, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $21.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/19/$21.00.

Printed in the United States of America by Curran Associates, Inc., under license from SPIE.

Publication of record for individual papers is online in the SPIE Digital Library.

00005_PSISDG11148_1114801_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model. A unique citation identifier (CID) number is assigned to each article at the time of publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online and print versions of the publication. SPIE uses a seven-digit CID article numbering system structured as follows:

  • The first five digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript.

Authors

Numbers in the index correspond to the last two digits of the seven-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first five digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

Ackmann, Paul, 0H

Ahmad, Ahmad, 1E, 1F

Aliyeva, Sabrina, 0A

Arisawa, Yukiyasu, 0X

Armeanu, Ana, 1B

Aronstein, David L., 0V

Avery, Christopher, 0V

Baggenstoss, Bill, 0U

Bailey, Todd, 0H

Bal, Evgeny, 1G

Ballman, Katherine, 0V

Banine, Vadim, 0Y

Beisser, Eric, 1A

Benzakour, Farid, 1A

Beyer, Dirk, 11

Bork, Ingo, 0G, 0Q

Bouaricha, Ali, 0U

Brault, Frederic, 1A

Buck, Peter, 0G, 0Q

Bürgel, Christian, 0C

Chang, Chin Kuei, 1O

Chao, Chih Hsuan, 1I

Chaudhary, Narendra, 0A

Chen, Amo, 0Y

Chen, Chain Ping, 1O

Chen, Ming, 10, 12

Chen, Pai Chi, 1H

Chen, Po Tsang, 1I

Chen, Rui, 18

Chen, Sweet, 1I

Chen, Wei, 1O

Cheng, Jackie, 1I

Cheng, James, 1I

Cheng, Jeffrey, 1I

Cheng, Yung Feng, 1H

Cherala, Anshuman, 0M

Chereshnya, Alexander, 1G

Chiba, Keisuke, 0X

Choi, Jin, 0F, 0M

Chou, William, 1I

Chua, Gek Soon, 0H

Cohen, Avi, 0L

Cohen, Boaz, 1G

Cohen, Oren, 1G

Cong, Linna, 0G, 10

Crider, Paul, 1G

Dassa, Oded, 1G

Deng, Zexi, 10

D’havé, Koen, 11

Digaum, Jennefir, 0U

Doh, Jonggul, 0F

Dong, Lisong, 18

Dounde, Amit, 1C

Drissi, Youssef, 1C

Du, C., 1E

Durvasula, Bhardwaj, 0G, 0Q

Fenger, Germain, 1B

Foong, Yee Mei, 0H

Fujii, Koichi, 13

Fujimura, Aki, 03, 0T, 0U

Gillijns, Werner, 1B, 1C

Granik, Yuri, 1P

Green, Michael, 1H

Ham, Young, 1H, 1I

Hamaker, H. Christopher, 06

Harada, Tetsuo, 1N

Hendrickx, Eric, 1B

Hermans, Jan, 11

Hofmann, Martin, 1E, 1F

Holz, Mathias, 1E, 1F

Hoshino, Manabu, 14

Huang, Chain Ting, 1H

Huang, Edgar, 1I

Huang, Xiaolu, 13

Huang, Zengzhi, 13

Iinuma, Minako, 0N

Im, Se-Hyuk, 0M

Inderhees, Gregg A., 12

Ivanov, Tzvetan, 1E, 1F

Iwanaga, Takehiko, 0M

Jeon, Chan Uk, 0F

Jockusch, Stefan, 02

Kageyama, Kagehiro, 17

Kageyama, Kiyoshi, 1K

Kamo, Takashi, 0X

Kanamitsu, Shingo, 0N, 0X

Kato, Kokoro, 1K

Kim, Minah, 0F

Kim, Ryoung-Han R., 1C

Kobayashi, Kota, 1K

Konnanur, Hari, 1L

Kozawa, Takahiro, 14

Kulkarni, Parikshit, 0A, 1K

Kumada, Ai, 0X

Lafferty, Neal, 1B

Lee, Adder, 1I

Lee, Christopher, 0V

Lee, H. S., 1E

Lee, Hong Jen, 1H, 1I

Lee, Michael, 0U

Lercel, Michael, 0Y

Li, Dejian, 12, 16

Li, Suo, 12

Liang, Jeffery, 1O

Lin, Junjin, 1I

Ling, Wenjun, 12, 16

Liu, Feng, 10

Liu, Xiaodi, 12

Liu, Yanghui, 1O

Lu, Colbert, 1H, 1I

Lu, Cong, 12, 16

Lu, Weijie, 0H

Lyu, Shizhi, 0G, 10

Madmon, Ronen, 1G

Manske, E., 1E

Mechold, Stephan, 1F

Meissl, Mario, 0M

Mishra, Kushlendra, 0G, 0Q

Morey-Chaisemartin, Philippe, 1A

Morimoto, Osamu, 0M

Morishita, Keiko, 0X

Morosawa, Narihiro, 17

Mouraille, Orion, 11

Munoz, Sebastian, 1K

Naka, Masato, 0X

Nakajima, Ayako, 14

Narayana Samy, Aravind, 0L

Ni, Lingyun, 13

Niewczas, Mariusz, 0T

Okamoto, N., 1E

Otsubo, Kyo, 0N

Pang, Linyong (Leo), 0T, 0U

Pearman, Ryan, 0T, 0U

Petel, Ori, 1G

Philipsen, Vicky, 1B

Progler, Chris, 1H

Qin, Xuefei, 12, 16

Ramadan, Mohamed, 1H

Rangelow, Ivo W., 1E, 1F

Rankin, Jed, 0H

Rao, Nageswara, 0G, 0Q

Reum, Alexander, 1E, 1F

Reuter, Christoph, 1E, 1F

Rohilla, Kunal, 1O

Russell, Ezequiel Vidal, 0U

Sakai, Keita, 0M

Sakurai, Hideaki, 0N

Saso, Fabian, 1K

Scheruebl, Thomas, 0L

Sczyrba, Martin, 0C

Sejpal, Rajiv, 1B

Seltmann, Rolf, 0L

Sharma, Malavika, 0G, 0Q

Sharma, Rachit, 0G, 0Q

Sharoni, Ofir, 0L

Shendre, Abishek, 0T

Sherazi, Syed Muhammad Yasser, 1C

Shiobara, Eishi, 0X

Shiozaki, Eiji, 17

Shirali, Nagesh, 0T

Shkalim, Ariel, 1G

Smeets, Christophe, 0Y

Smith, Adam, 1L

Song, Donghwan, 1O

Stauffenberg, J., 1E

Steinert, Steffen, 11

Su, Bo, 0U

Su, Xiaojing, 18

Su, Yajuan, 18

Sufrin, Yael, 0L

Taguchi, Kazunori, 14

Takabayashi, Yukio, 0M

Takai, Kosuke, 0N, 0X

Takashima, A. N., 1E

Tan, Alexander, 12, 1O

Tanabe, Mana, 0N

Thamm, Thomas, 0L

Tian, Mingjing, 0G, 10, 12

Tolani, Vikram L., 12

Trivkovic, Darko, 1C

Tsuda, Keisuke, 1N

Twu, C. H., 1I

Tzeng, Josh, 1I

Umezawa, Kaori, 0N

Ungar, P. Jeffrey, 0T, 0U

Utzny, Clemens, 0C

Valadez, John, 1K

van der Kerkhof, Mark, 0Y

van Dijk, Leon, 11

van Empel, Tjarko, 0Y

van Haren, Richard, 11

Wang, Jie, 12, 16

Wang, Kechang, 0U

Wang, Weilong, 0H

Watanabe, Takeo, 1N

Wei, Chih-I, 1B

Wei, Yayi, 18

Weng, Shang Feng, 1H

Willis, Jan, 03

Wu, Frank CM, 1O

Xue, Fen, 12, 16

Yanagisawa, Kohei, 1K

Yang, Ming-Chuan, 0U

Yin, Yousheng, 1O

Yoshikawa, Ryoji, 0X

Yu, Xin Ren, 1I

Zeng, Jinghua, 1O

Zepka, Alex, 0A

Zhang, Chunli, 10

Zhang, Min, 10

Zhang, Wei, 0M

Zhou, X. Q., 1E

Zimmerman, John, 0V

Conference Committee

Conference Chairs

  • Jed H. Rankin, GLOBALFOUNDRIES Inc. (United States)

  • Moshe E. Preil, KLA-Tencor Corporation (United States)

Conference Program Committee

  • Frank E. Abboud, Intel Corporation (United States)

  • Uwe F.W. Behringer, UBC Microelectronics (Germany)

  • Lucien Bouchard, Photronics, Inc. (United States)

  • Peter Buck, Mentor, a Siemens Business (United States)

  • Thomas B. Faure, GLOBALFOUNDRIES Inc. (United States)

  • Aki Fujimura, D2S, Inc. (United States)

  • Emily E. Gallagher, imec (Belgium)

  • Brian J. Grenon, RAVE LLC (United States)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • Byung Gook Kim, ESOL, Inc. (Korea, Republic of)

  • Paul A. Morgan, Micron Technology, Inc. (United States)

  • Kent H. Nakagawa, Toppan Photomasks, Inc. (United States)

  • Takahiro Onoue, HOYA Corporation (Japan)

  • Jan Hendrik Peters, BMBG Consult (Germany)

  • Douglas J. Resnick, Canon Nanotechnologies, Inc. (United States)

  • Thomas Scherübl, Zeiss (Germany)

  • Shalini Sharma, JSR Micro, Inc. (United States)

  • Yuyang Sun, Mentor Graphics Corporation (United States)

  • Bala Thumma, Synopsys, Inc. (United States)

  • Banqiu Wu, Applied Materials, Inc. (United States)

  • Shusuke Yoshitake, NuFlare Technology, Inc. (Japan)

  • Larry S. Zurbrick, Keysight Technologies, Inc. (United States)

Session Chairs

  • Plenary Session: Joint session with conferences 11147 and 11148

    Jed H. Rankin, GLOBALFOUNDRIES Inc. (United States)

    Takahiro Kozawa, Osaka University (Japan)

  • Survey

    Jed H. Rankin, GLOBALFOUNDRIES Inc. (United States)

    Moshe E. Preil, KLA Corporation (United States)

  • Mature Mask Support

    Jed H. Rankin, GLOBALFOUNDRIES Inc. (United States)

    Moshe E. Preil, KLA Corporation (United States)

  • Deep Learning Mask Applications

    Aki Fujimura, D2S, Inc. (United States)

    Yuyang Sun, Mentor Graphics Corporation (United States)

  • Selected Poster Speed Talks: Joint Session with conference 11147 and 11148

    Shalini Sharma, JSR Micro, Inc. (United States)

    Julius Joseph S. Santillan, Osaka University (Japan)

  • EUV Mask and Lithography Integration: Joint Session with conferences 11147 and 11148

    Frank E. Abboud, Intel Corporation (United States)

    Rik Jonckheere, IMEC (Belgium)

  • Mask Writer and Mask Process Correction (MPC)

    Uwe F.W. Behringer, UBC Microelectronics (Germany)

    Jed H. Rankin, GLOBALFOUNDRIES Inc. (United States)

  • Processing, Photoresist and NanoImprint Lithography

    Thomas B. Faure, GLOBALFOUNDRIES Inc. (United States)

    Shalini Sharma, JSR Micro, Inc. (United States)

  • Mask Data Prep (MPD) and Curvlinear Data Handling

    Peter Buck, Mentor, a Siemens Business (United States)

    Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • EUV Blank and Films: Joint Session with conference 11147 and 11148

    Onoue Takahiro, HOYA Corporation (Japan)

    Ted Liang, Intel Corporation (United States)

  • EUV Defects, Inspection and Characterization: Joint Session with conferences 11147 and 11148

    Thomas Scherübl, Carl Zeiss SMS Ltd. (Israel)

    Vibhu Jindal, Applied Materials, Inc. (United States)

  • EUV Pellicle: Joint Session with conferences 11147 and 11148

    Emily E. Gallagher, IMEC (Belgium)

    Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 11148", Proc. SPIE 11148, Photomask Technology 2019, 1114801 (25 October 2019); https://doi.org/10.1117/12.2555538
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet lithography

Image processing

Data modeling

Process modeling

Model-based design

Nanoimprint lithography

Back to Top