Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume PMJ19, including the Title Page, Copyright information, Table of Contents, Author and Conference Committee lists.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper,” in Photomask Japan 2019: XXVI Symposium on Photomask and Next-Generation Lithography Mask Technology, edited by Akihiko Ando, Proceedings of SPIE Vol. 11178 (SPIE, Bellingham, WA, 2019) Seven-digit Article CID Number.

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510630734

ISBN: 9781510630741 (electronic)

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time)· Fax +1 360 647 1445

SPIE.org

Copyright © 2019, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $21.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/19/$21.00.

Printed in the United States of America by Curran Associates, Inc., under license from SPIE.

Publication of record for individual papers is online in the SPIE Digital Library.

00095_PSISDG11178_1117801_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model. A unique citation identifier (CID) number is assigned to each article at the time of publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online and print versions of the publication. SPIE uses a seven-digit CID article numbering system structured as follows:

  • The first five digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript.

Authors

Numbers in the index correspond to the last two digits of the seven-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first five digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

Akima, Shinji, 0D

Akitani, Kousuke, 03

Aronstein, David L., 0H

Arps, J., 0O

Asano, Toshiya, 0I

Badger, Karen, 0D

Ballman, Katherine, 0H

Bekman, Herman, 0E

Bender, Markus, 0E

Beyer, Dirk, 0R

Biermanns-Föth, A., 0O

Birnstein, Anka, 0D

Broman, Par, 06

Brouns, Derk, 06

Bürgel, Christian, 0L

Chen, Pai Chi, 0Q

Cheng, Yung Feng, 0Q

Chong, Nui, 02

de Rooij-Lohmann, Véronique, 0E

Detavernier, Christophe, 0F

Deutz, Alex, 0E

de Zanger, Rory, 0E

D’havé, Koen, 0R

Ebeling, Rob, 0E

Erdmann, Andreas, 0F

Esashi, Masayoshi, 0B

Evanschitzky, Peter, 0F

Finders, Jo, 07

Frommhold, Andreas, 07

Fujii, Kenichi, 04

Fujimura, Aki, 09, 0A

Gallagher, Emily, 07

Hayashi, Tatsuya, 0J

Hayashi, Tomohiko, 0I

Hendrickx, Eric, 0F

Henry, Tania, 0G

Hermans, Jan, 0R

Heumann, Jan, 0D

Hiura, Hiromi, 0I

Hiura, Mitsuru, 0J

Hönicke, Philipp, 0F

Horiuchi, Toshiyuki, 03

Hsu, Yuan, 0M

Huang, Chain Ting, 0Q

Huang, Zack, 0M

Ikegami, Naokatsu, 0B

Imahashi, Kazumi, 03

Inoue, Koji, 04

Ip, Vincent, 0G

Ishikawa, Akira, 0N

Isogawa, Takeshi, 0D

Iwanaga, Takehiko, 0I, 0J

Iwasaki, Jun-ya, 03

Jonckheere, Rik, 0E

Kagawa, Masayuki, 0D

Kageyama, Kiyoshi, 08

Kawashima, Keisuke, 04

Kievit, Olaf, 0E

Kobayashi, Hiroshi, 03

Kobayashi, Kota, 08

Kodera, Yutaka, 0D

Kohmura, Kazuo, 04, 0N

Kojima, Akira, 0B

Komaki, Takamitsu, 0J

Kono, Kenji, 0Q

Kooiman, Marleen, 07

Koshida, Nobuyoshi, 0B

Kubis, Michael, 07

Kulkarni, Parikshit, 08

Lafarre, Raymond, 06

Laubis, Christian, 0F

Lebert, R., 0O

LeClaire, Jeff, 0K

Lee, Christopher, 0H

Lee, Heng-Jen, 0M

Lee, Meng, 0G

Luong, Kim Vu, 0F

Maas, Raymond, 06

Meyer, Mike, 0A

Missalla, T., 0O

Miyaguchi, Hiroshi, 0B

Modderman, Theo, 06

Morohoshi, Hiroshi, 0J

Mouraille, Orion, 0R

Mulkens, Jan, 07

Murakami, Kouichi, 05

Nagai, Kazunori, 0Q

Nakayama, Takahiro, 0I

Nam, DS, 07

Nicolai, Kyri, 0E

Niewczas, Mariusz, 09, 0A

Notermans, Roel, 06

Oda, Takashi, 04

Ohyi, Hideyuki, 0B

Ojima, Shuichi, 05

Okubo, Atsushi, 0N

Ono, Yosuke, 0N

Opsomer, Karl, 0F

Pampfer, C., 0O

Pang, Linyong (Leo), 09, 0A

Pearman, Ryan, 09, 0A

Phiesel, C., 0O

Philipsen, Vicky, 0F

Piel, C., 0O

Preil, Moshe, 0C

Rankin, Jed, 0D

Resnick, Douglas J., 0I

Rip, Jens, 0F

Rispens, Gijsbert, 07

Robinson, Tod, 0K

Rook, Katrina, 0G

Sakai, Keita, 0I

Salmaso, Guido, 06

Satou, Yutaka, 0M

Scholze, Frank, 0E

Sczyrba, Martin, 0L

Shendre, Abhishek, 09, 0A

Shirali, Nagesh, 09

Soltwisch, Victor, 0F

Souriau, Laurent, 0F

Srinivasan, Narasimhan, 0G

Steinert, Steffen, 0R

Sugata, Masanori, 0B

Suzuki, Yuta, 03

Takabayashi, Yukio, 0I, 0J

Tanaka, Hirofumi, 0N

Toda, Yusuke, 0D

Uemura, Takumi, 05

Ungar, Jeff, 09

Utzny, Clemens, 0L

Vaenkatesan, Vidya, 07

Valadez, John, 08

van Adrichem, Paul, 07

van der Horst, Jan-Willem, 06

van Dijk, Leon, 0R

van Haren, Richard, 0R

van Look, Lieve, 07

van Putten, Michel, 0E

van Veldhoven, Jacqueline, 0E

Weng, Shang Feng, 0Q

Westerhout, Jeroen, 0E

Westphal, James W., 0C

Wu, Chien-Ching, 0E

Wu, Xin, 02

Yamamoto, Kiyohito, 0I

Yanagida, Akira, 03

Yanagisawa, Kohei, 08

Yonetani, Masashi, 0D

Yoshida, Itaru, 0D

Zepka, Alex, 08

Zimmerman, John, 0H

Conference Committee

Symposium Chair

  • Takeo Watanabe, University of Hyogo (Japan) Symposium Vice Chair

  • Masaru Nakagawa, Tohoku University (Japan)

Advisory Committee Chair

  • Tadahiro Takigawa, ALITECS Corporation (Japan)

Advisory Committee

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • Morihisa Hoga, National Institute of Advanced Industrial Science and Technology (Japan)

  • Toshiyuki Horiuchi, Tokyo Denki University (Japan)

  • Masanori Komuro, Consultant (Japan)

  • Masao Otaki, Consultant (Japan)

  • Masato Shibuya, Tokyo Polytechnic University (Japan)

  • Yoshio Tanaka, D2S, Inc. (United States) and D2S K.K. (Japan)

Organizing Committee Chair

  • Takeo Watanabe, University of Hyogo (Japan)

Organizing Committee Vice Chair

  • Masaru Nakagawa, Tohoku University (Japan)

Organizing Committee

  • Akihiko Ando, Toshiba Memory Corporation (Japan)

  • Uwe Behringer, UBC Microelectronics (Germany)

  • Junko Collins, SEMI Japan (Japan)

  • Brian J. Grenon, RAVE, LLC (United States)

  • Hideaki Hamada, HTL Company Japan Ltd. (Japan)

  • Eiichi Hoshino, Nikon Corporation (Japan)

  • Hideaki Mitsui, HOYA Corporation (Japan)

  • Hiroyuki Miyashita, Dai Nippon Printing Company, Ltd. (Japan)

  • Junji Miyazaki, ASML Japan Company, Ltd. (Japan)

  • Warren Montgomery, Irresistible Materials (United States)

  • Hiroaki Morimoto, Toppan Printing Company, Ltd. (Japan)

  • Kazuyuki Suko, Dai Nippon Printing Company, Ltd. (Japan)

  • Kiyohito Yamamoto, Canon Inc. (Japan)

  • Anto Yasaka, Hitachi High-Tech Science Corporation (Japan)

Auditors

  • Ichiro Kagami, Sony Semiconductor Manufacturing Corporation (Japan)

  • Yoji Tonooka, Toppan Printing Company, Ltd. (Japan)

Steering Committee Chair

  • Kazuyuki Suko, Dai Nippon Printing Company, Ltd. (Japan)

Steering Committee Vice Chairs

  • Takashi Kamo, Toshiba Memory Corporation (Japan)

  • Kiwamu Takehisa, Lasertec Corporation (Japan)

Steering Committee

  • Tsukasa Abe, Dai Nippon Printing Company, Ltd. (Japan)

  • Akihiko Ando, Toshiba Memory Corporation (Japan)

  • Junji Hirumi, Mie FUJITSU Semiconductor Ltd. (Japan)

  • Kunihiro Hosono, Renesas System Design Company, Ltd. (Japan)

  • Kokoro Kato, Nihon Synopsys G.K. (Japan)

  • Yutaka Kodera, Toppan Printing Company, Ltd. (Japan)

  • Toshio Konishi, Toppan Printing Company, Ltd. (Japan)

  • Yasutaka Morikawa, Dai Nippon Printing Company, Ltd. (Japan)

  • Naoki Nishida, HOYA Corporation (Japan)

  • Teruaki Noguchi, JEOL Ltd. (Japan)

  • Nobuyuki Yoshioka, Dai Nippon Printing Company, Ltd. (Japan)

  • Shusuke Yoshitake, NuFlare Technology, Inc. (Japan)

Program Committee Chair

  • Akihiko Ando, Toshiba Memory Corporation (Japan)

Program Committee Vice Chairs

  • Tsukasa Abe, Dai Nippon Printing Company, Ltd. (Japan)

  • Yutaka Kodera, Toppan Printing Company, Ltd. (Japan)

Program Committee

  • Hajime Aoyama, Nikon Corporation (Japan)

  • Minako Azumi, Nikon Corporation (Japan)

  • Peter Buck, Mentor Graphics Corporation (United States)

  • Thomas Faure, GLOBAlFOUNDRIES Corporation (United States)

  • Kazuyuki Hagiwara, D2S K.K. (Japan)

  • Manabu Hakko, Canon Inc. (Japan)

  • Tetsuo Harada, University of Hyogo (Japan)

  • Terumasa Hirano, HOYA Corporation (Japan)

  • Franklin Kalk, Toppan Photomasks. Inc. (United States)

  • Takashi Kamikubo, NuFlare Technology, Inc. (Japan)

  • Izak Kapilevich, Applied Materials, Inc. (United States)

  • Bryan Kasprowicz, Photronics (United States)

  • Kokoro Kato, Nihon Synopsys G.K. (Japan)

  • Yusuke Kawano, Renesas Electronics Corporation (Japan)

  • Byung-Gook Kim, Samsung Electronics Company, Ltd. (Korea)

  • Taiichi Kiuchi, JEOL Ltd. (Japan)

  • Yosuke Kojima, Toppan Printing Company, Ltd. (Japan)

  • Masaaki Koyama, Sony Semiconductor Manufacturing Corporation (Japan)

  • Yasuyuki Kushida, Mie FUJITSU Semiconductor Ltd. (Japan)

  • John Lin, Taiwan Semiconductor Manufacturing Company Ltd. (Taiwan)

  • Junji Miyazaki, ASML Japan Company, Ltd. (Japan)

  • Yoshinori Nagaoka, KLA Corporation (Japan)

  • Patrick Schiavone, ASELTA Nanographics (France)

  • Tsutomu Shoki, HOYA Corporation (Japan)

  • Yasunari Sohda, Tsukuba University (Japan)

  • Kiwamu Takehisa, Lasertec Corporation (Japan)

  • Kenichi Tokunaga, TowerJazz Panasonic Semiconductor Company, Ltd. (Japan)

  • Masami Yonekawa, Canon Inc. (Japan)

  • Shingo Yoshikawa, Dai Nippon Printing Company, Ltd. (Japan)

  • Guojing Zhang, Intel Corporation (United States)

Session Chairs

  • 1 NIL

    Akihiko Ando, Toshiba Memory Corporation (Japan)

    Douglas Resnick, Canon Nanotechnologies, Inc. (United States)

  • 2 FPD Photomasks

    Nobuhiko Yabu, Canon Inc. (Japan)

    Hajime Aoyama, Nikon Corporation (Japan)

  • 3 Writing & Metrology

    Takashi Kamikubo, NuFlare Technology, Inc. (Japan)

    Uwe Behringer, UBC Microelectronics (Germany)

  • 4 Process & Repair

    Yasutaka Morikawa, Dai Nippon Printing Company, Ltd. (Japan)

  • 5 EDA & Lithography

    Yoshinori Nagaoka, KLA-Tencor Japan Ltd. (Japan)

    Bala Thumma, Synopsys, Inc. (United States)

  • 6 EUV Masks (I)

    Tsutomu Shoki, HOYA Corporation (Japan)

    Jim Wiley, ASML (Netherlands)

  • 7 EUV Source for Inspection

    Junji Miyazaki, ASML Japan (Japan)

    Pavel Nesladek, Advanced Mask Technology Centre GmbH & Company KG (Germany)

  • 8 25th Anniversary Special Session

    Kiwamu Takehisa, Lasertec Corporation (Japan)

    Kokoro Kato, Nihon Synopsys G.K. (Japan)

  • 10 EUV Masks (II)

    Yutaka Kodera, Toppan Printing Company, Ltd. (Japan)

    Izak Kapilevich, Applied Materials, Inc. (United States)

  • 11 EUV Masks (III)

    Tsukasa Abe, Dai Nippon Printing Company, Ltd. (Japan)

    Rik Jonckheere, Imec (Belgium)

  • 12 EUV Masks (IV)

    Kazunori Seki, Toppan Printing Company, Ltd. (Japan)

    Jim Wiley, ASML (Netherlands)

© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 11178", Proc. SPIE 11178, Photomask Japan 2019: XXVI Symposium on Photomask and Next-Generation Lithography Mask Technology, 1117801 (8 July 2019); https://doi.org/10.1117/12.2541433
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Printing

Extreme ultraviolet

Extreme ultraviolet lithography

Laser applications

Nanoimprint lithography

Semiconducting wafers

Back to Top