Presentation + Paper
31 March 2020 TrueMask ILT MWCO: full-chip curvilinear ILT in a day and full mask multi-beam and VSB writing in 12 hrs for 193i
Author Affiliations +
Abstract
Since its introduction more than a decade ago, inverse lithography technology (ILT) has been seen as a promising solution to many of the challenges of advanced-node lithography. Numerous studies have demonstrated that curvilinear ILT mask shapes produce the best process window. However, the runtimes associated with this computational technique have limited its practical application. In 2019, D2S introduced an entirely new, stitchless approach for ILT [20]. This system includes a unique GPU-accelerated approach that emulates a single, giant GPU/CPU pair that can compute an entire full-chip ILT solution at once. This novel approach, systematically designed for ILT and GPU acceleration, makes full-chip ILT a practical reality in production for the first time. The masks used to validate wafer results for this system were written by a multi-beam mask writer. The question remained of whether it was possible to use this new approach to ILT in a way that could be written by a variable-shaped beam (VSB) mask writer. This paper introduces a new method, in which a process called mask-wafer cooptimization (MWCO) is performed during ILT optimization. This new approach enables curvilinear ILT for 193i masks to be written on VSB mask writers within reasonable write times. It shortens the total turnaround time so that VSB mask writers can produce full-chip, curvilinear ILT masks within a practical, 12-hour time frame, while also producing the largest process windows. It should be noted that this enables curvilinear or any-angle targets for the wafer design to be processed by curvilinear ILT and then written by VSB mask writers for 193i processes. While MWCO as a concept can be used for multi-beam mask writers as well, this paper is focused on MWCO for VSB mask writers.
Conference Presentation
© (2020) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Linyong (Leo) Pang, P. Jeffrey Ungar, Ali Bouaricha , Lu Sha, Michael Pomerantsev, Mariusz Niewczas, Kechang Wang, Bo Su, Ryan Pearman, and Aki Fujimura "TrueMask ILT MWCO: full-chip curvilinear ILT in a day and full mask multi-beam and VSB writing in 12 hrs for 193i", Proc. SPIE 11327, Optical Microlithography XXXIII, 113270K (31 March 2020); https://doi.org/10.1117/12.2554867
Lens.org Logo
CITATIONS
Cited by 2 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Semiconducting wafers

SRAF

Lithography

Optical proximity correction

Extreme ultraviolet

Critical dimension metrology

Back to Top