Presentation + Paper
23 October 2020 Picometer sensitivity metrology for EUV absorber phase
Stuart Sherwin, Isvar Cordova, Ryan Miyakawa, Markus Benk, Laura Waller, Andrew Neureuther, Patrick Naulleau
Author Affiliations +
Abstract
With growing interest in EUV attenuated phase shift masks due to their superior image quality for applications such as dense contact and pillar arrays, it is becoming critical to model, measure, and monitor the relative intensity and phase of multilayer and absorber reflections. We present a solution based on physical modeling of reflectometry data, which is capable of achieving single picometer phase precision. During repeated reflectometry measurements we observed a systematic change in absorber reflectivity which we attribute to the growth of a carbon film from 44-156pm, causing a change in the relative phase of 0.3°. This represents sensitivity to changes in the average film thickness to well below one atomic monolayer. After separating out systematic drift from random noise, we estimate our precision to be 3σ = 0.1°, corresponding to 3-4pm.
Conference Presentation
© (2020) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Stuart Sherwin, Isvar Cordova, Ryan Miyakawa, Markus Benk, Laura Waller, Andrew Neureuther, and Patrick Naulleau "Picometer sensitivity metrology for EUV absorber phase", Proc. SPIE 11517, Extreme Ultraviolet Lithography 2020, 1151707 (23 October 2020); https://doi.org/10.1117/12.2574759
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Phase shifts

Multilayers

Constructive interference

Destructive interference

Image processing

Image quality

Back to Top