PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
This paper describes continuing efforts in the development of Acid Hardened Resist (AHR) systems for use in deep UV photolithography. The Silylated AHR (SAHR) process treats a highly absorbing resist, such as XP-8928, with trimethylsilyldiethylamine. The exposed, crosslinked areas show virtually no reactivity with the silylating agent, and the unexposed areas incorporate 10 to 12% by weight silicon in the film. The silicon appears to incorporate from the exterior in a constant concentration, consistent with Case II diffusion. Subsequent dry etching leads to a positive tone image. The contrast is 5, and the photospeed is ~10 mJ/cm2. Resolution of 0.5 μm line/space pairs has been demonstrated, although substantial proximity effects are encountered.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have formulated a kinetic theory of bombardment induced interface evolution to describe etching by an axisymmetric angular distribution of energetic particles where the volume removed per particle is a function of energy and angle relative to the surface normal. Kinetic theory relates the angular distribution to the pressure, the voltage drop across the plasma sheath, the sheath thickness, and the cross sections describing the collision processes. The resulting interface evolution equation is a nonlinear partial differential equation that may be reduced to a coupled set of ordinary differential equations by the method of characteristics. Additional simplifications arise in two special cases that are relevant to the pattern transfer step in multi-layer lithography. One of these simplifications occurs when the yield is independent of angle as expected for the thermal spike mechanism of bombardment induced chemically assisted etching. This case applies to the planarizing layer in multi-layer lithography where the etching rate is proportional to the energy flux delivered by bombarding particles to a given point on the surface. Analysis of this case shows that shadowing of the angular distribution by adjacent features causes proximity effects in line etching and aspect ratio dependent etching rates in trench etching. For angle dependent yields, another simplification applies to regions that are not shadowed by a remote part of the surface. Analysis of this case shows that facet edges (slope discontinuities) will spontaneously develop from smooth initial conditions. Etching masks are not significantly shadowed by adjacent features, so this case describes mask erosion by physical sputtering mechanisms which are characterized by strongly angle dependent yields. Together these cases allow a complete description of the pattern transfer step in bi-layer lithography. We discuss the effect of mask selectivity, mask wall angle, trench aspect ratio, and etching conditions on etching profiles and process latitudes for the pattern transfer step in multi-layer lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The etch resistance of silicon containing polymers has been investigated in a split cathode magnetron etch system designed and constructed at the Microelectronics Center of North Carolina (MCNC). Some of the work involved silylated resists and was presented in paper 1185-01 of this Symposium. In this paper we will discuss the etch resistance of an organo-silicon material (IC1, available from the Futurrex Corp.) which has been used extensively at MCNC. The etch rate of the material has been observed to be a function of both if power and substrate temperature. The tendency of the material is to be much more etch resistant at higher temperatures (etch rate approximately 15 Α/minute) and/or in cases where the rf power is low. The. indication is that an oxygen radical diffusion mechanism immediately beneath the etching surface is important in the stabilization process. Under these conditions, the etch rate ratio of nonsilicon organics to the silicon containing materials is more than 600:1. Conversely, low substrate temperature and large ion flux lead to very much larger etch rates (more than 400 Α/minute).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes the application of reactive ion etching to submicron single-layer lithography. It is shown that the etch selectivity of silicon containing resists is a strong function of the ion energy; that is, the selectivity increases for low ion energies. That supports the use of magnetically enhanced ion etchers for the development of single-layer silylated photoresists since the ion energy in these reactors is low for most process conditions. This paper shows that by a proper design of the reactor and the process good selectivity can also be achieved in a reactive ion etcher. This allows for the use of a simple reactor for some dry-develop lithography applications. The conditions leading to good selectivity as well as several submicron applications are described in this paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper will describe our current X-Ray mask development efforts at ALO that have produced half-micron "device level" masks. It will focus on the pattern transfer phase of the mask fabrication process. This combines the use of Electron Beam writing strategies, wet etching, and 02 - RIE with a tri-level resist (PBS / Cr / S1400-23). Although the mask has no buried topography, the resist stencil must exhibit etched structures with vertical sidewalls and no undercutting of the transfer layer mask. This is made difficult by the need for overetch periods (≈30%) that are necessitated by pattern generated microloading effects in submicron geometries. An additional constraint involves the Au plating base beneath the tri-level layer, whose thickness integrity must be maintained prior to electroplating. This processing is carried out on a five-micron thick, three inch diameter free-standing boron nitride membrane substrate. This paper will also discuss some studies using a tri-level structure where RIE will define the mid-level and resist stencil layer. This area of study is one of several critical aspects of X-Ray mask technology that must be successfully developed to achieve 0.25 micron mask design rules.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Design rules for the next generation of VLSI and ULSI devices will routinely require the plasma etching of sub-micron geometries. These requirements will create even greater challenges for the exposure and devolopment of photoresist on reflective and severe topographies. Two processes developed to meet these challenges are Multi-Level Resist processing and the Dry Development of Photoresist. Critical to both of these processes is the need for a productive, >4000A/min, anisotropic etch of photoresist with critical dimension loss of <0.05um.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper will discuss the preparation and characterization of a modified photoresist and describe its use in a novel bilayer process. The modified photoresist solutions are prepared by dissolving enough cyclic phosphonitrilic chloride trimer, PNCT, in commercially available photoresist solutions to achieve phosphorus concentrations of 10 to 12 weight percent in the resulting films. FTNMR and FTIR data will be presented which demonstrate that the cyclic phosphonitrilic chloride trimer does not undergo chemical reaction with the components of the photoresist in the photoresist solutions or photoresist films. The exposure threshold of the PNCT modified photoresist films is 1.5 times greater than that of the unmodified films. Experimental data will be discussed which suggests the decrease in exposure threshold is the result of a relatively lower concentration of photoactive compound in the PNCT modified films. The PNCT modified films will be shown to provide resolution comparable to that of the unmodified photoresist films and yield a process window of better than 20%. A mechanism for the formation of the 02/N2 plasma resistant etch barrier formed during 02/N2 plasma etching will be discussed. Finally, a bilayer process which uses the PNCT modified photoresist in generating metal features entrenched in polyimide will be presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The rate of etching of Nb oxydes in plasmas of CF4-O2 and CF4-H2 gas mixtures has been investigated. The etch curves of the oxydes thermally grown at different temperatures ( 673 K - 1023 K ) and times ( 10 - 45 min ) show similar behavior as these of pure Nb films, but with etch rates a factor of 2-3 higher. Fluorine radicals seem to be the main reactants in the etch chemistry. The etching is anisotropic and the end-point detection can be accurately made by "in situ" laser interferometry.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Laser light scattering measurements show that a variety of processing plasmas used during semiconductor fabrication produce significant amounts of in-situ, particulate contamination. The particles are produced by chemical and/or mechanical means during plasma exposure. In etching plasmas, simultaneous measurement of particulates by laser light scattering and of plasma negative ions by two-photon laser-induced fluorescence indicates the particles are negatively charged and are electrostatically trapped at the sheath boundaries. Similar observations have been obtained in sputtering plasmas. Mechanisms for particle formation are suggested. In some cases, nucleation and growth from plasma negative ions and etch products is indicated. In other cases, stress-inducing processes may fracture thin films on chamber surfaces thereby injecting particles into the plasma. These particles become negatively charged by acquiring electrons from the plasma. In each case, the particles are suspended at the sheath boundaries and drop onto the wafer when the if power is turned off, thereby contaminating critical product surfaces. The use of an inexpensive HeNe laser for monitoring particle contamination levels during processing is discussed along with implications of this work for dry process equipment technology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper we discuss several approaches for obtaining satisfactory coverage of plasma enhanced CVD TEOS, PETEOS, over severe topography in submicron and larger CMOS technologies. The problems inherent to PETEOS deposition are presented and several solutions are discussed. Adequate film contours can be obtained by the formation of a simple spacer plus a thick film etchback step. Other approaches discussed include a single deposition and etchback, multiple spacer formation, sloped metal profiles, planarization, and profile modification with physical sputtering.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With ever-decreasing feature size in devices along with increasing wafer diameter, single wafer plasma etchers have drawn much attention recently, because of uniform and reproducible etching as well as improved process control. High etch rate with good selectivity is necessary in order to economically compete with batch machines. In response to this requirement, a reactive ion etch process is developed that, by employing hydrogen bromide, provides both high polysilicon etch rate and high selectivity to gate oxide at the same time in an anisotropic etching of P-doped polysilicon. Furthermore, photoresist erosion rate is very low in the brominated plasma; there is virtually no linewidth loss in the processing even when the photoresist profile is substantially sloped. Silicon trench etching has also been achieved at high rate with HE3r-based plasma. The deep silicon trench with high aspect-ratio shows smooth etched surface, rounded bottom corner, and a slightly positive slope profile.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Polysilicon etch profile is examined as a function of process variation for submicrometer-patterned polysilicon on gate oxide. A Lam Research Rainbow 4400 single-wafer etcher was used to etch polysilicon wafers for a variety of bulk etch and overetch process combinations in order to establish trends for polysilicon etch profile using photoresist and oxide masks. Scanning electron microscope analysis was completed to determine the resulting polysilicon profile for each combination, and process trends for profile were established. A process which demonstrated profile control, critical dimension control and high oxide selectivity after extended overetch was then used to etch polysilicon lines on gate oxide over underlying topography. Scanning electron microscope analysis was completed to determine the effectiveness of the process in removing the polysilicon stringer while maintaining a vertical profile, critical dimension loss of less than 0.1 um, and gate oxide loss of less than 50 A.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A processing sequence for the fabrication of Y-shaped profiles for HEMTs and MESFETs using electron beam lithography (EBL) and reactive ion etching (RIE) will be presented. On the top of a tri-layer resist system the gate line is defined by EBL and transferred to the substrate by RIE without expansion of lateral dimensions. The enlargement of the upper part of the profile is done by isotropic and anisotropic RIE steps. The process has been optimized for a gate length of 200 nm including a recess of 120 nm. Details of the different RIE steps and of the metallization will be discussed. First DC-characteristics of a MESFET with an etched Y-gate will be given.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The relative bit error rate (BER) induced in complementary metal oxide semiconductor (CMOS) dynamic random access memory (DRAM) by alpha particles and other types of radiation has been measured using an accelerator technique. It has been found that the variation of the BER with memory density is not as strong as expected. Furthermore, BER measurements as a function of incident particle charge show that protons may yield a nonnegligible BER, possibly making cosmic ray effects more important than expected. Finally, the occurrence of rare alpha-particle-induced hard errors is reported.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An electron cyclotron resonance (ECR) generated oxygen plasma has been used for the chemical vapor deposition (CVD) of Si02 by reacting the oxygen plasma beam with adsorbed silane. This study was done to define the process window for the deposition of planarized Si02 over metal interconnect topographies with aspect ratio (ratio of step height to gap width) of up to 3 to 1 and for silicon trench fill with aspect ratio up to 5 to 1. It is found that the ratio of resputter rate provided by rf bias on the substrate to the deposition rate, typically 20 to 55 percent, determines the maximum aspect ratio space that can be filled. The system parameters considered are silane flow rate, oxygen to silane flow ratio, rf bias power density, and microwave power.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Profile control is required when etching silicon for trench isolation or capacitor applications. Trench profile control is often obtained by using etch chemistries and conditions that result in deposition of passivation material on the newly exposed sidewalls of the trenches during etch. The sidewall passivation material must then be removed before further processing of the trench structures. An effective trench clean is critical to the quality of the interface between the monocrystalline silicon sidewall of the trench and subsequently grown / deposited layers. Current practice for trench clean often involves dilute BF wet etch. Inherent in this approach is the assumption that the sidewall passivation material is SiOx with x-2. The composition, thickness and etch rate of the sidewall passivation material are important variables to consider for development and optimization of any trench clean process. In this work, several analytical techniques (SEM, Auger, TEM) were applied to characterize the sidewall passivation material produced in a magnetically-enhanced single wafer trench etch tool. The results suggest that variation of etch conditions can affect not only the shape and thickness but also the composition of the passivation material deposited during trench etch.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Submicron diameter particles were grown and observed in an rf plasma while etching silicon. The plasma, created by an rf discharge in argon-CF2Cl2 mixtures in a parallel plate geometry, was probed by light from a pulsed dye laser. The scattered laser light provided a measure of the size and space distribution of the particles. The particles were observed to be spatially distributed, reaching a peak density just outside the plasma-sheath boundary. Particle size distributions, determined from the pulse-height spectrum of the scattered light intensity, were estimated to peak at radii of less than one micron.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
SAMPLE and PROLITH are two computer programs widely available for simulating the optical projection photolithography process. Both programs use a combination of physical and empirical models to determine the profile in a developed resist given various imaging, exposure, and development data. Although both programs perform basically the same functions, they rely on different models and numerical algorithms for the calculation of aerial and latent images, and differ in the method adopted for propagating the development front. A notable difference to the user is that the programs also use different development-rate models. This paper documents algorithmic details not available in the open literature on these programs, and presents simulation results of representative lithography systems to illustrate differences and similarities in the developed photoresist profiles and in relevant intermediate steps. Numerical comparison demonstrates that aerial images calculated by SAMPLE and PROLITH are in generally good agreement. It is found that resist cross-sectional profiles produced by the programs at high numerical resolution provide the same qualitative lithography information for representative systems; however, a significant disagreement is found in the output of the post-exposure bake algorithms since SAMPLE predicts much lower standing-wave amplitude attenuation effects. It is argued that the dissimilar development-rate models used by each simulator do not contribute significantly to differences observed in the cross-sectional profile output. A noteworthy difference is that PROLITH is capable of predicting the asymmetric behavior observed in experimental data relating critical dimensions to defocus, whereas SAMPLE predicts completely symmetric behavior. The band algorithm used in SAMPLE for the calculation of linewidths is found to be an adequate method for estimating critical dimensions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Accelerated learning, improved process control and advanced manufacturability are high priorities at SEMATECH. This being the case, the effective use of such statistical techniques as the design of experiments, response surface methodology and statistical process control are also high priorities. We have developed and are using a generic module evaluation, characterization and optimization methodology that integrates the use of these statistical tools to accelerate and improve both the accomplishment of these tasks and that of climbing the learning curves for both the processing tool and its process. In this paper we describe an important portion of this methodology, Passive Data Collection. We will illustrate Passive Data Collection in dry etching with an example.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The electrodynamics of rf glow discharges has been described by a continuum model that simulates the creation, loss, energy gain and loss, and transport of charged particles within the discharge. Detailed comparisons between the model predictions and experimental measurements have been made to test the model; e.g., the spatially and temporally resolved emission of SF6 and Ar discharges. The model which uses no adjustable parameters, has been shown to be in good agreement with all measures to date. In previous continuum models', an initial guess is made for the concentration and energy of the charged species and then the set of differential equations are integrated until the oscillatory steady state is reached. We have developed a mathematical algorithm which solves directly for the oscillatory steady-state, avoiding the time integration and typically reducing the computation time by several orders of magnitude.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We describe the characterization and optimization of a two step nitride etch process in a hexode reactor by Design of Experiments and Statistical Process Control methods. Through optimization, better process control is achieved thus allowing a more robust process. Response Surface Analysis of a full factorial screening experiment with four factors points towards using a two step process of using first a CHF3-rich CHF3/O2 gas mixture with high nitride to photoresist selectivity but low nitride to oxide selectivity followed by a second step with high nitride to oxide selectivity but low nitride to photoresist selectivity. Further optimization of the nitride etch rate and uniformity through the path of steepest ascent method is investigated. The process recipe thus developed was used for etching gate and source patterns in a 0.9 micron CMOS TWIN TUB technology for 125 and 150 mm wafers in a commercial hexode. Penetration of the thin pad oxide is about 30+ /- 10A. The highest nitride to oxide selectivity for the second step is about 12 to 1 at an approximate 10% CHF3/90% 02 gas mixture. Statistical process control is demonstrated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Pattern dependencies in plasma etching processes have been widely noted, but only a limited understanding of the fundamental cause exists. Typical pattern dependencies are variations of the etching rate and sidewall profile with line spacing or other changes of the local topography. The dispersion of the ion impingement angle (caused by scattering within the plasma sheath), scattering of ions (by glancing collisions with the profile features), and redeposition of material emitted in the etching process (which blocks the sidewall etching) are thought to be the primary factors in pattern dependencies. In this work, a string-and-point model has been extended to describe both the wafer surface and the interface between the redeposited layer and the underlying material. The etching rate is modeled as an ion-enhanced etching accompanied by isotropic etching which proceeds at a rate which is inversely related to the redeposited film thickness. The model successfully describes phenomena such as "mouse bites" in which the redeposition layer, which blocks the etching, becomes too thin and cavernous etching proceeds from a point midway along the sidewall.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The purpose of this paper is to report a technique, based on scalar diffraction, which can be applied to the problem of real-time in situ wafer monitoring for plasma etching. This paper briefly outlines the theory motivating the use of diffraction as the basis for the monitoring technique. In addition, a simplified model is presented which utilizes the theory to show the unique correlation between surface topography and the diffraction images. Experimental and theoretical results demonstrate the usefulness of this technique for applications in the manufacture of semiconductors. In particular, the critical dimensions and sidewall profiles of rectangular and trapezoidal structures are investigated. For the trapezoidal structures submicron resolution on the order of 0.16 μm is easily obtained at a distance of 100mm in under 200 ms. Sidewall profiles ranging from 12.5° to 90° are also compared and shown to be clearly discernable using this technique.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Absolute concentration measurements of radicals and stable molecules formed in radio frequency plasmas were performed by infrared tunable diode laser absorption in a laboratory reactor which allows a long absorption path. In this paper we report studies of CF4 RF plasmas. We report CF2, CF4, and C2F6 concentrations in CF4 plasmas as functions of total pressure, RF power, and oxygen addition.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Magnetic confinement of a low pressure rf discharge is useful in generating high density plasmas with low sheath voltages at the bounding surfaces. Thus, substrates exposed to such plasmas receive large fluxes of low energy ions. Additionally, since source gas fragmentation is substantially higher than in conventional systems, the etching chemistry is also very different. The discussion will focus on designs in which the magnetic field is generally parallel to the substrate and highlight the physical properties of these configurations which lead to low impedance and high plasma density. Examples of etching characteristics in polymer and silicon etch chemistries will be given. In many cases, excellent uniformity, high etch rate, and very flexible profile control have been demonstrated; and magnetron systems have proven to be entirely appropriate for in situ wafer at a time processing applications. The future of these systems is very bright, although there are still a few unresolved issues, such as oxide etching which is selective to silicon. The nature of the problems, as well as potential solutions, will also be discussed in this presentation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The effect of electron irradiation as a function of energy on the 2D EG transport properties of high electron mobility transistor (HEMT) structures at liquid helium temperature was measured. High mobility HEMT structures were molecular beam epitaxy (MBE) grown with a 2D EG channel approximately 850 A below the surface. A Cambridge EBMF 10.5 was used for electron irradiation with electron energies between 2.5 and 20 keV. The HEMT structures were fabricated into Hall bar geometry. Damage is assessed by changes in the 2D EG concentrations, as determined from Shubnikov-de Haas (SdH) oscillations in a magnetic field from 0 to 8.5 Telsa, and changes in the zero field Hall mobilities. For electron energies from 5.0 to 12.5 keV, the electron dose produced a degradation of the Hall mobility. No -damage effect was observed for electron energies at 2.5 keV and 15 keV and above. This result could be attributed to the penetration depth and damage distribution. Electron damage introduced parallel conduction which was exhibited in the magnetoresistance curves. The results of this work will be useful in reducing damage from electron beam proCessing of submicron devices.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The damage incurred during reactive ion etching is studied by using MOS capacitors with various sizes of surface metal pads attached to the gate electrode as charge collectors. Wet oxides show a sharper breakdown distribution and a higher resistance to plasma damage than dry oxides. High resolution electron microscopy shows that the Si/Si02 interfaces of dry oxides are much rougher than that of wet oxides both in terms of the extrusion heights and spacings. An anomalous leakage current in negative-gate IV characteristics was found for fully processed CMOS transistors and capacitors with metal pad antenna, indicating the nature of electrostatic induced hole trapping near the polysilicon gate/SiO2 interface. These residual trapped charges may be due to hole tunneling from gate electrode into oxide from an induced positive-gate bias. Breakdown characteristics are significantly degraded when electrons are injected from the polysilicon gate as opposed to injection from the silicon substrate. The most prominent effect of the electrostatic damage is the degradation in breakdown voltages of the defective oxides.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, the background to a strategy for effecting real-time control of a dry etching process is discussed. The process chosen as the vehicle for the demonstration of the required control concepts is the reactive ion etching of organic polymer films in oxygen plasmas. The role of plasma diagnostic techniques in providing a specification of the etch process in terms of fundamental physical parameters is given. An example of their use is provided by considering the transference of an etching process between two markedly different etchers. Etch processes are also discussed as being representable as process response surfaces in a multidimensional space. The generation of these surfaces using response surface methodology and radial basis function approaches are described. Finally, a combination of plasma diagnostic methods and the parametric models is considered in the guise of a dynamic real-time control system for a dry etch process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.