PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
This PDF file contains the front matter associated with SPIE Proceedings Volume 12051, including the Title Page, Copyright information, Table of Contents, and Conference Committee listings.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For more than 50 years, Moore's Law has driven the steady shrink of feature sizes for integrated circuits. This development has been enabled by continuous resolution improvements of each generation of lithography scanners. Nowadays the most recent scanner generation is using EUV wavelength together with 0.33NA optics to image the patterning information of the mask onto the silicon wafer. They are used in high volume manufacturing to produce leading edge semiconductor devices. To further increase the resolution of EUV scanners ZEISS is working on next generation EUV optics with an increased NA of 0.55. This next generation optics consists of a highly flexible illumination system and projection optics with NA 0.55 enabling single-exposure sub 8nm half-pitch resolution to allow scaling beyond the next decade. In this presentation we will report on the status of the 0.33NA EUV optics being shipped in volume to the customer. Then we will give a short recap of the system design of the 0.55NA optical column and we will show the status of the high-NA program at ZEISS. We report on the high-NA infrastructure including mirror polishing, coating, surface figure metrology, mirror handling, and integration tooling. Progress in manufacturing of mechanics, frames, and mirrors for both illuminator and POB will be shown.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A particle-in-cell model is used to investigate the dust levitation phenomenon. A submicrometer-sized silicon dioxide particle lying on a silicon dioxide substrate is exposed to a low-energy electron beam and the flux of ions and electrons from a cold plasma. The combined effect of ion and electron accumulation between the particle and the substrate is reported. The results are of interest for dust mitigation in the semiconductor industry, the lunar exploration, and the explanation of the dust levitation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Edge placement error (EPE) is a critical indicator for the imaging performance of semiconductor technology nodes, and is among others influenced by writing errors on the reticle. The impact of global mask variations is generally well understood and local variations are often considered to have a similar behavior. In this contribution we highlight the differences between the local and global mask variations and their impact on CD and placement in resist. We discuss the concept of local Mask Error Enhancement Factor (MEEF) and the impact of mask perturbation on neighboring structures within a certain interaction length. We show that local mask variations have a significantly smaller effect than global effects, which can have an influence on mask requirements. We show results of HyperLith simulations for a DUV use case of staggered contact holes arrays. We explore the prediction of the impact in resist of random mask fingerprints using impulse responses from single contact hole perturbation. We show that such prediction can be used to calculate the global MEEF from the local effects. The simulation results are compared to experimental data, measured in resist with CDSEM.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Typical photoresists processes include a small set of photo-chemical reactions, with each reaction represented by many statistically identically distributed and independent instances. These instances eventually combine into the resist deprotection function, resulting, by virtue of the Central Limit Theorem, in Gaussian Random Field deprotection models. We discuss and demonstrate the approaches to calibration of such models, based on experimentally measured edges of lithographic features, their LER, LWR and PSD. We also present, discuss and analyze the phenomenon of “spatial ergodicity” and its effect on proper sampling of edge measurements for stochastic model calibration.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Local CD uniformity (LCDU) is being considered as one of key parameter indicators of patterning quality control due to continuous pattern shrinkage and maintaining wafer quality in lithography process. In optical DUV lithography, LCDU has various contributors and they are systematic mask/OPC items, SEM metrology reproducibility, and stochastic effects. In stochastic term, it includes photoresist and speckle contrast. In general, photoresist is considered as the dominant factor in LCDU control, but speckle contrast is drawing attention due to importance of controlling LCDU in new device. Speckle is a light interference effect which causes the non-uniform dose delivery to mask and wafer, and we experimentally confirmed the effect of speckle contrast in several layers. In this paper, we will propose estimated the speckle budget of total LCDU in the target layers through the experiment.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Maskless exposure makes possible of individual chip design customization and large area chip fabrication that are impossible with mask exposure.
We are developing DUV optical maskless exposure tool named as Digital Scanner (DS) that uses a spatial light modulator as a pattern generator and a DUV solid-state laser as a light source (193 or 248 nm).
We will report technology development progress of DS including the latest experimental data. Sub-pixel patterning capability by DS will be presented. Finally, we will discuss on the DS production tool with 248 nm exposure wavelength that are being prepared to release in mid-2020s.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Advancing technology nodes in CMOS Image Sensors (CIS) continues to drive a shrinking process to acquire higher resolution and low power consumption as well as more cost-effective production. With the sensor pixel size scaling down, a thicker photoresist (with aspect ratios greater than 10:1) is introduced to block high-energy implants with extremely localized implant profiles. Then double exposures/double focus (DE/DF) is applied to make sure the resist profile and process window is comparable or better. However, this process is a big challenge at high volume manufacturing (HVM) phase because of throughput loss. To recover it due to DE/DF, we invented SE MFI which uses two wavelengths (“colors”) generated by the KrF excimer laser to solve the problem. Due to the chromatic aberrations in the lens, the focal plane shift of different wavelength produces nearly the same result as DE/DF. However, the use of two-wavelengths brings some challenges. The first is the loss of image contrast and the second is the impact of chromatic aberrations across the slit which results in image shift and image asymmetry. In this work, we demonstrated that the use of ASML’s Tachyon KrF MFI source mask optimization (SMO) that can match the MFI SE process to DE/DF process of record (POR). We first used Tachyon Focus-Exposure Modeling plus (FEM+) to calibrate a DE resist model by using DE POR wafer data. Then we converted the DE model to a SE MFI model. At the end, we use the Tachyon MFI-SMO to optimize the SE MFI to match the DE/DF and MFI sidewall profiles through process window conditions at the center slit. We achieved making the MFI and DE/DF sidewall difference significantly smaller than other noises which can be measured on wafer at the center slit. We evaluated the chromatic aberration impact on through slit sidewall profiles also meet the specification. The through slit matching between MFI and DE/DF was further improved by through-slit mask optimization. This is done by inserting asymmetry sub resolution assist features (SRAFs). Tachyon Optical Proximity Correction plus (OPC+) can support full chip mask corrections for full-chip HVM. The above MFI technology including Tachyon optimization capability will be verified by wafer exposure via comparison between MFI and DE wafer results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The road towards the next technology leap in EUV lithography is set. ASML and ZEISS have designed and started manufacturing the next generation EUV exposure tool. With a wafer side NA of 0.55, the High NA scanner system will support the further pattern node scaling roadmap by improving image contrast and therewith reduce LCDU and defect print rate on product wafer. As for all major steps in the lithography evolution, the whole infrastructure will develop further in order to support this next quantum leap in EUV technology, with the EUV photomask technologies and metrology tools representing an essential piece of the mosaic. The AIMS® EUV system represents a unique piece of the EUV mask infrastructure for the qualification of the mask printing performance in the aerial image. The AIMS® aerial image is by design targeted to match the scanner aerial image, as the tool is engineered to emulate all imaging relevant scanner properties, e.g., mask side NA, through slit chief-ray characteristics, aberration level, illumination schemes. For the emulation of the High NA scanner, ZEISS developed and started manufacturing an upgrade for the current existing 0.33NA AIMS® EUV platform. The same AIMS® EUV system is therefore capable of imaging 0.33NA isomorphic as well as 0.55NA anamorphic masks, providing the best-in-class performance for both imaging technologies and optimal match to scanner imaging. In this paper the first imaging results of the AIMS® EUV High NA tool are shown together with quantitative analysis of 0.55NA anamorphic imaging properties. The challenges of providing two intrinsically diverse emulation types (0.33NA isomorphic and 0.55 anamorphic) in one single platform are described together with the solutions which were implemented and tested.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV lithography has been adopted worldwide for High-Volume Manufacturing (HVM) of sub-10nm node semiconductors. To support HVM, EUV pellicles were introduced by ASML in 2016, and more recently, pellicles made from novel materials were developed to offer higher transmission and support higher source powers. In this paper, we will give an overview of current EUV pellicles. Also, we will report on our continuing research to optimize EUV pellicles to transmissions of above 90%, and supporting EUV source powers of above 400W. Key performance indicators of such novel pellicles will be presented, showing the promise of these materials to support upcoming lithography nodes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Background: In EUV lithography, the absorber material determines the amplitude and phase of the diffracted orders, which define best focus (BF) and depth of focus (DOF). Control of BF and DOF is needed for mid and high numerical aperture (NA) systems. Aim: Generate recommendations for EUV absorber classes and explain the best focus shifts that occur for bright and dark field mask imaging. Approach: We anchor simulations with experiments using a TaBN absorber, then investigate the BF and DOF for various absorbers using simulation. We use a simple analytical model to show that the analytically predicted diffraction orders behave similarly in magnitude and phase to EMF simulations. Results: We find good prediction for BF experiments and simulations using a very simple resist model, and fair prediction in DOF. We explain the BF shift depending on simple absorber parameters using an analytical model. The exact BF shift needs to be calculated using EMF simulations. Conclusions: Best focus shifts are more pronounced for dark than clear field masks, and more so in attPSM materials than in more binary absorbers. Magnitude and phase of the 0th order plays an important role in BF shifts. We are encouraged to explore low-reflection attPSM materials as mask absorbers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An alternate mask absorber is a generally applicable approach as a mitigation strategy for Mask 3-D effects (M3D) observed in EUV lithography. It is also an efficient solution in a production-worthy environment compared to multilayer modification or Source Mask Optimization (SMO) techniques. Absorbers with a high EUV extinction coefficient k allow for lower Best Focus Variation (BFV) through pitch and reduced Telecentricity Errors (TCE). This study evaluates Ta-Co alloys as potential high-k mask absorbers. It includes an experimental study of film morphology, surface composition, and stability of Ta-Co alloys as well as a theoretical investigation of the imaging performance. The optical constants were determined from EUV angle-dependent reflectivity measurements for three selected compositions, viz. TaCo, Ta2Co, and TaCo3. The Ta-Co alloys exhibit a higher EUV extinction coefficient k compared to the currently used TaBN absorber. TaCo and Ta2Co demonstrated smooth surfaces, were stable in a hydrogen environment, and in mask cleaning solutions. These qualified compositions of Ta-Co alloys were selected for aerial image simulations and compared with a TaBN absorber. The optimized absorber thickness for Ta-Co alloy absorbers is based upon NILS enhancement, Threshold to Size (TtS) and balancing of diffraction order amplitudes. A 10 nm alternate line-space pattern with a pitch of 20 nm and 14 nm square contact holes with a pitch of 28 nm were considered for the simulation study using High NA 0.55 EUV lithography process settings. The through pitch imaging performance was evaluated using NILS, TCE and BFV as metrics. Ta-Co alloys allow for a reduction in M3D effects at smaller absorber thickness compared to a 60 nm TaBN absorber.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Attenuated phase shifting masks (attPSM) for EUV lithography have shown the potential to improve the aerial image contrast through light and phase modulation and reduced mask three-dimensional (M3D) effects through thinner absorber. However, a robust optical design capable of generating almost identical performance for various patterns and feature sizes needs to be determined. The ability to identify and experimentally verify various mask absorber candidates is both challenging and expensive. Effective media approximation (EMA) identified material candidates have been shown to simplify the determination of material candidates through known optical constants of the constituent elements. An approach to engineer the desired optical properties and experimentally verify EMA modeling technique through multilayer thin films is presented. Mo – Ni multilayer films satisfying EMA requirement are deposited through RF magnetron sputtering. The verification of optical constants for multilayer films with 20%, 50% and 90% Ni volume fractions is performed at visible wavelengths through UV-Vis-NearIR variable angle spectroscopic ellipsometry (VASE). EMA modeled multilayer absorber candidates are shown to have the flexibility in obtaining desired optical properties based on the layout-design requirements.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV Stochastics: Joint Session with Conferences 12051 and 12055
In 2021 IRDS logic roadmap, required minimum contact/via pitch is 28 nm in 2025, which cannot be obtained by single exposure at 0.33 NA system using chemical amplified resist (CAR). To make the target possible, 0.55 NA system is the only way for single exposure. The relationship of resolution, roughness, and sensitivity has been known to be trade-off in CAR, and we tried optimizing them at 0.55 NA lithography simulation. For the optimization, not only resist material parameters, but also resist development parameters were adjusted. In addition, we conducted numerical simulation for line and space (L/S) pattern of metal oxide resist (MOR), which is expected as one of the breakthroughs for making narrower patterns. We set up a MOR NTD model and calibrated it by experimental data. The calibrated model is applied to the evaluation of the patterning performance including dose to size (DtS), roughness, and resist profile. Simulation results regarding a new wet development method for MOR to break through the RLS trade-off are introduced.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The 7nm node is the first generation where EUV lithography has been employed to replace a few multi-patterning immersion layers in high-volume manufacturing. The insertion of EUV lithography in the 7nm node can simplify the production process, reduce the cycle time, improve performance, and enhance yield. However, in order to fully take advantage of these benefits, we need to overcome new challenges introduced by EUV technology. In this paper, we present how to integrate 193nm immersion and EUV lithography in production and optimize the critical steps in the process. Our 7nm product was initially taped out with full 193nm-immersion masks. When the immersion process stabilized with a decent yield, we taped out n EUV layers to replace 3n immersion layers in the product. To migrate our production from the immersion process to the EUV process smoothly, we started a few Lots with splits of the immersion and the EUV processes. Some wafers went through the full immersion lithography process and the rest of the wafers went through the hybrid lithography process with about n layers using EUV. At the end, we compared the Si data of the two processes. After a few iterations of tweaking, the EUV process can provide better performance and higher yield than the immersion process. In this report, we also provide examples of how the better CD control with the EUV mask can be achieved, the Edge Placement Error (EPE) can be reduced and the uniformity of the EUV back-end-of-line (BEOL) layer can be improved during EUV process development.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extending 0.33NA EUV single patterning to 28nm pitch becomes very challenging in terms of stochastic defectivity, which demands high contrast lithographic images. The low-n attenuated phase-shift mask (attPSM) can provide superior solutions for individual pitches by mitigating mask three-dimensional effects. The simulation and experiment results have shown substantial imaging improvements: higher depth of focus at similar normalized image log slope and smaller telecentricity error values than the best binary mask configuration. In this paper, the exploration of low-n attPSM patterning opportunity for pitch 28nm metal design is investigated. The lithographic performance of the low-n attPSM is compared to the standard binary Ta-based absorber mask. As well, the impact of mask tonality (bright-field vs. dark-field) on the pattern fidelity and process window is evaluated both by simulations and experiments.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In order to improve logic via printing we propose staggered vias to effectively regularize randomly placed vias in a typical logic design. We accomplish this (i) by forcing via placement on a staggered sub-grid of the standard manhattan grid and (ii) by placing smaller fixed-size via Sub-Resolution Assist Features (SRAFs) on all remaining empty positions of the staggered grid. We devised a methodology to create such staggered via placement in a standard Place&Route (PNR) design flow and evaluated the concept on a 64-bit (64b) ARM core implementation through a PowerPerformance-Area (PPA) analysis. From a PNR run-time perspective and PPA analysis this looked a very viable implementation with little to no disadvantages compared to standard via placement. Finally, to experimentally test and compare staggered vias and against standard manhattan vias, we designed a via mask with both staggered and standard manhattan vias patterns and exposed them on an 0.33NA NXE3400 EUV lithography system. Analysis of experimental results on a 38nm via pitch show 40% smaller best-focus shift across the slit, and 20% smaller via-via CD variation for staggered vias compared to Manhattan vias with regular SMO.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV Integration: Joint Session with Conference 12051 and 12056
The use of a 4F2 cell configuration which enables higher densification is common in emerging memory devices. The pitch scaling and the robustness of these devices mainly rely on the patterning of the orthogonal array vertical pillar process. In this paper, we screen several lithography process approaches to optimize the 40nm pitch pillar patterning using single exposure EUV (extreme ultraviolet) lithography. The results show that with the optimized 40nm pitch process roughly 0.6nm 3-Sigma WCDU (wafer critical dimension uniformity) and 1.4nm 3-Sigma LCDU (local critical dimension uniformity) can be obtained post-litho for 21.1nm mean CD (critical dimension). Post-etch patterning with the best process shows 1.8nm 3-Sigma WCDU and 1.3nm 3-Sigma LCDU at 17.2nm mean CD. Smaller pitches have also been explored to identify the limits of the single EUV lithography process. Structures at 34nm pitch have shown high amount of pillar collapse. For 36nm pitch, on the other hand, a reasonable litho performance could be obtained with slightly boosted CD. The post-litho results show that with the optimized 36nm pitch process 0.4nm 3-Sigma WCDU and 1.4nm 3-Sigma LCDU can be obtained for 19.1nm mean CD.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As overlay tolerances tighten node-over-node, the measurement and control of overlay has progressed from the low (spatial) frequent domain toward higher spatial frequencies. At present up to 3rd order in the (non-scanning) slit direction can be addressed on high end systems. With the introduction of an advanced distortion-manipulator on an ArFi immersion scanners a significant improvement in the spatial frequency of overlay control can be achieved. This actuator will now enable at least up to 9th order lens distortion manipulation and control in the (non-scanning) slit direction, with future extendibility to on-the-fly adjustments while scanning. The manipulator setup and distortion control is fully incorporated in the scanner software and allows for lens fingerprint optimization, better dynamic lens heating control, and scanner stability control to maintain overlay performance over time. Also an external scanner overlay optimization interface is made available that enables machine-to-machine matching within the immersion platform as well as for cross-matching to the EUV platform. Via this interface also high spatial-frequent process corrections can be send to the scanner. In this paper, we will show the capability of the scanner-integrated distortion manipulator on abovementioned aspects using on-scanner aberration metrology, and in-resist distortion and overlay metrology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With EUV lithography moved into volume production, it allows for a reduction of manufacturing complexity by minimizing the amount of pattern splits for critical layers. A key metric describing patterning capability , the total Edge Placement Error (EPE), scales with the technology nodes. As discussed in previous papers, overlay and OPC requirements are requested to scale faster than the EPE metric, in order to allow more room for stochastics in the total EPE budget. While the on product overlay (OPO) performance requirements continue to scale, these need to be met in a mix-andmatch mode of EUV and immersion DUV scanners. In this paper we will examine both EPE and OPO requirements for DUV and EUV scanners for advanced nodes. We will describe how multiple overlay metrology sources can be used to infer scanner corrections, how these systems are set up against a common reference and how we maintain this ‘matched state’ over time. As stated, these overlay improvements link back to the EPE budget and thus to its other components. We will describe how an enhanced view on EPE contributors will allow us to combine cross domain degrees of correction, during layer setup and control. Moreover, this EPE component breakdown will enable the ability to monitor this ‘EPE state’ by combining various sources of metrology; ultimately high spatial EPE variations may lead to a different scanner setup state. The need to describe the stability of all EPE constitutes warrants combining e-beam inspection systems with scanner, optical and SEM metrology to support diverse overlay, CD and control use models and process fingerprint mapping.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We investigate the collisional radiative (CR) model of tin and heavier elements, to accurately predict their EUV emission spectrum to have a useful tool for the optimization of the source to obtain high output power and efficiency at 13.5 nm, as well as in 6 – 7 nm region. Although, tin and heavy elements have a complex atomic structure and the emission occurs through a large number of fine structure transitions, their observed spectrum usually consists of few broad peaks, which are attributed to 4d-4f, 4p-4d, 4d-5p, and 4d-5f transitions. We show a simple representation of their wavelength from the investigation of theoretical and experimental spectrum.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For finer linewidth patterning, 0.55 numerical aperture (NA) should be used instead of the existing 0.33 NA. In 0.55 NA extreme ultraviolet lithography (EUVL), to alleviate the mask 3D effect and stochastic noise, which is stronger, it is necessary to develop an optimal phase shift mask (PSM) and multilayer mask for high NA. Mask structure is used PSM with composed of Ru-alloy/TaBO and multilayer composed of ruthenium (Ru)/silicon (Si), which is expected to be effective in mitigating mask 3D effect and improving imaging performance. The absorber reflectance was checked which is changed by variables such as pattern existence, target CD, and pitch ratio. In addition, by examining the relationship between the change in absorber reflectance and normalized image log slope (NILS), it was determined whether the mask structure for high NA was changed by the target pattern changes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Imec N3 logic design rules define a minimum via pitch of 36nm for a double patterning process. Enabling this pitch is crucial in terms of process time and number of masks involved. One method for extending 0.33 NA EUV is using advanced mask materials. Studies have shown that a low-n attenuated phase-shift mask (PSM) can improve EUV imaging performance, reduce mask 3D effects and improve optical contrast compared to the reference Ta-based mask. [1-3] In this paper, the impact of mask stack - Ta-based (binary or BIM) and low-n (PSM) - and mask tone - dark field (DF) vs. bright field (BF) - on a random logic Via layer will be evaluated. To pattern contact holes, we use negative tone development (NTD) metal-oxide resist process using the BF mask and positive tone development (PTD) chemically amplified resist process using the DF mask. Source mask optimization (SMO) was performed with and without subresolution assist feature (SRAF) as a resolution enhancement technology (RET). Optical proximity correction (OPC) was carried out on design clips using respective sources and mask rules at different mask tone. We show the optimum choice for this layer and present our recommendation based on current OPC simulations as well as some preliminary wafer data.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Densification and reduction of lithographic features sizes keeping low defectivity is one of the biggest challenges in the patterning area. In order to extend 193 immersion capabilities and meet advanced applications needs, multi exposure image mode is a promising option for non-high volume manufacturing. It allows from a unique pattern with a fixed critical dimension (CD) and pitch, to obtain more dense patterns in a large surface without any process loop of standard flow, a huge benefit compared to litho-etch-litho-etch (LELE) approach. The study carried out explores this method with a specific design of pillars array printed using Negative Tone Development (NTD). The multi-image option relies on exposing multiple times the same initial pattern with a low image-to-image overlay. Based on intrinsic scanner performances, imageto-image placement error should be less than two nm. In this paper, many functionalities are explored to customize patterns from a single and unique mask design. One stake is to transfer (into silicon) a 2 mm * 2 mm pillar array design with a pitch divided by two, covering a wide surface on a 300 mm wafer and answering overlay and stitching requirements. Final results give well defined pillars which intra-wafer CD uniformity (3σ) satisfies application process requests. By using a flexible multi-image mode, mask constraints (cost and quality) can be relaxed, i.e. with a larger pitch structure on the reticle than the targeted one, final feature can be achieved. This development can be extended to hybrid lithography such as NanoImprint Lithography (NIL) or specific applications such as optics.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Discharge- and laser-produced plasma (DLPP) devices are being used as light sources for Extreme Ultraviolet (EUV) generation. A key challenge for both, DPP and LPP, is achieving sufficient brightness to support the throughput requirements of nanometrology tools. To simulate the environment of a hybrid DLPP device and optimize EUV output, we have developed an integrated HEIGHTS-DLPP computer simulation package. The package integrates simulation of two evolving plasmas (DPP & LPP) and includes modeling of a set of integrated self-consistent processes: external power source and plasma energy balance, plasma resistive magnetohydrodynamics (MHD), plasma heat conduction, detailed radiation transport (RT), and laser absorption and refraction. We simulated and optimized DLPP devices using Xe gas as a target material. We synchronized the external circuit parameters, chamber gas parameters and laser beam temporal and spatial profiles to achieve maximum EUV output. The full 3D Monte Carlo scheme was integrated for detailed RT and EUV output calculations in Xe using more than 3600 spectral groups. The modeling results are in good agreement with Julich Forschungszentrum experimental data. Theoretical models, developed and integrated in HEIGHTS package, showed wide capabilities and flexibility. The models and package can be used for optimization of the experimental parameters and settings, investigation of DLPP devices with complex design, analyzing the impact of integrated spatial effects and working timeline arrangement on the final EUV output, and EUV source size, shape and angular distribution.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We report the status of the CO2-Sn-LPP (Laser-produced-plasma) EUV light source development at Gigaphoton. It is the high power 13.5nm light source solution for the manufacturing of semiconductor pattern below 7nm. Our original technologies are a combination of a pulsed CO2 laser with Sn droplets, dual wavelength laser application and Sn debris mitigation with a magnetic field. Providing high EUV power with high operation availability is a requirement for the EUV light source system. With above technologies, we have demonstrated a collector mirror reflectivity degradation rate of less than -0.5%/Bp at an average power of 125W at IF during a week of operation. We also achieved an in-band power of 270W under dose-controlled operation and demonstrated a power scalability up to 365W. To achieve higher availability, we improved the two main factors that limit the operation availability, that are the lifetime of the droplet generator and of the collector mirror. We are developing a new long-lifetime droplet generator with an in-situ Sn fuel supply system. With this technology, we have demonstrated stable droplet generation continuously for more than 2000 hours. The mirror lifetime is determined by the reflectivity degradation of the multilayer coating, due to hydrogen blistering, oxidation, as well as sputtering, implantation, and deposition by Sn ions, Sn atoms, and Sn fragments. Since our magnetic mitigation scheme works effectively for lower energy ions, we developed advanced pre-pulse laser irradiation to suppress the generation of high-energy ions from the Sn plasma without loss of the high EUV Conversion Efficiency of ~6%. An in-situ shooting control system, which was developed for newly optimized laser conditions, remarkably improved the EUV energy and dose error 3σ by 50%. With this new shooting control technology, we demonstrated fragment-free EUV sample mirrors after a medium-term test at the EUV research source.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The EQ-10 Electrodeless Z-pinchTM source uses Xenon plasma to produce 13.5 nm (±1% BW) radiation. The source is used for metrology, mask inspection, and resist development. In this talk we will present modeling of the EQ-10 Z-pinch using the Trac-II radiation magnetohydrodynamic (RMHD) code. Specifically, we use RMHD calculations to explore development of the Electrodeless Z-pinch as a source of Blue-X (6.x nm) radiation. Critical to this study are the nonLTE effects on radiation physics and the equation of state. For this we rely on the FLYCHK collisional-radiative model to determine the radiative power loss. We also use the FLYCHK calculated emissivity to estimate the Blue-X power output. We revisit previous experimental attempts at obtaining Blue-X EUV radiation with neon gas. Having established a baseline of performance on our present Z-pinch system, we use RMHD calculations to scale to higher outputs of potential interest to the EUV community.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.