Presentation + Paper
26 May 2022 Vertical travelling scatterometry for metrology on fully integrated devices
Author Affiliations +
Abstract
In this work, a novel spectral interferometry technique called vertical travelling scatterometry (VTS) is introduced, demonstrated, and discussed. VTS utilizes unique information from spectral interferometry and enables solutions for applications that are infeasible with traditional scatterometry approaches. The technique allows for data filtering related to spectral information from buried layers, which can then be ignored in the optical model. Therefore, using VTS, selective measurements of the topmost part of an arbitrarily complex stack are possible within a single metrology step. This methodology helps to overcome geometrical complexities and allows focusing on parameters of interest through dramatically simplified optical modelling. Such model simplifications are specifically desired for back-end-of-line applications. Three examples are discussed in this paper: monitoring (i) critical dimensions of a first metal level on top of nanosheet gate-all-around transistor structures, (ii) the thickness of an interlayer dielectric above embedded memory in the active area, and (iii) critical dimensions of trenches on top of tall stacks in the micrometer range comprising many layered dielectrics. It was found that, in all three cases, data filtering through VTS allowed for a simple optical model capable of delivering parameters of interest. The validity and accuracy of the VTS solution results were confirmed by extensive reference metrology obtained by traditional scatterometry, scanning electron microscopy, and transmission electron microscopy.
Conference Presentation
© (2022) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
D. Schmidt, M. Medikonda, M. Rizzolo, C. Silvestre, J. Frougier, A. Greene, M. Breton, A. Cepler, J. Ofek, I. Kaplan, R. Koret, and I. Turovets "Vertical travelling scatterometry for metrology on fully integrated devices", Proc. SPIE 12053, Metrology, Inspection, and Process Control XXXVI, 120530S (26 May 2022); https://doi.org/10.1117/12.2614077
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Metrology

Semiconducting wafers

Scatterometry

Optical filters

Back end of line

Data modeling

Dielectrics

Back to Top