PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
We present an analysis of the cost of ownership for a synchrotron-based x-ray proximity printing system. We consider the total number of lithography tools that would be needed for a 0.25-micron manufacturing plant with 5000 200-mm wafer starts per week. We compare the cost of x ray with that of deep ultraviolet lithography for patterning critical levels. For reference, we calculate costs for the noncritical levels as well. We examine x ray costs as functions of synchrotron under-utilization, of reticle cost and usage, and of throughput. Our analysis indicates that, under the assumptions of identical process yield and throughput, x-ray system costs with a fully utilized synchrotron are competitive with deep ultraviolet costs if the manufacturing product has high volume. For low or moderate volume products deep ultraviolet lithography is cheaper, predominantly because of lower reticle costs. The lack of a strong economic driver for x ray suggests that it is unlikely to be introduced into manufacturing until it is clear that no optical technology can adequately meet production needs.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A joint Motorola/IBM experiment was performed in mix-and-match lithography across widely separated locations. A simple pattern placement metrology data set was created, and x-ray masks were manufactured according to this data. The same data was converted into a 5x reticle and optically stepped on wafers. The x-ray mask was designed to print upon two optical fields with one x-ray exposure. The x-ray mask was aligned to the wafers to produce box-in- box images for overlay metrology. The main overlay problems encountered were systematic offsets between x-ray and optical images, and average magnification error of approximately 8 ppm. The magnification error is substantial because of the 3 degree(s)C temperature difference between the optical stepper stage and the x-ray mask-writer. In an actual device run, the magnification differences will be removed by compensation in the e-beam writing of the x-ray mask. Offsets will be removed by use of a send-ahead wafer to determine the correct offset alignment in the x-ray stepper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The synchrotron x ray lithography (XRL) project described was conducted as a learning and feasibility vehicle for gate level lithography in support of IBM's most advanced CMOS logic programs. An electrically probable multilevel lithography test site was developed and characterized to exercise critical design, mask manufacture, alignment, exposure, and metrology issues in the 150 - 350 nm linewidth range. A fully capped silicided polysilicon gate stack was chosen for the electrical measurements in order to develop and demonstrate the XRL and related reactive ion etch process on a realistic, product-like substrate. This paper addresses test site design issues, elaborates on the mask manufacturing process, and presents SEM and electrical data from wafers processed at IBM's Advanced Semiconductor Technology Center. The data presented demonstrate the feasibility of supporting early device development and process integration with XRL and highlight the need for high resolution, defect free, proximity corrected masks to fully exploit the capabilities of x ray lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To further improve the performance of alignment systems for high resolution lithographic exposure systems, the various contributions to the overall alignment accuracy must be identified and separately reduced. We have measured the contributions to the performance of the ALX100 alignment system of the SUSS XRS200 x-ray stepper emerging from recognition repeatability, focus setting, and gap setting. We have found asymmetric alignment profiles during the alignment of a metal-layer process wafer combined with stepfield dependent offsets. After modification of the signal evaluation the stepfield dependent offset was largely reduced and the performance increased.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The overlay accuracy of the synchrotron radiation (SR) lithography stepper `Satellite 600' developed by Sumitomo Heavy Industries, Ltd. (SHI), was analyzed. The stage precision of positioning elements and an alignment system of the stepper were examined. As a result, it was proved that a major factor of the overlay error originated from the focus condition of the alignment optics system. We name this factor `focus dependency of alignment system,' which means that the detected value of the alignment system is changed in the order of 10 nm as the proximity gap width is changed even in the case that both mask and wafer marks are within the depth of focus. Experimental data of the stepper under operation was analyzed by Taguchi's experimental planning method to prove the affect of the focus dependency. The results of this analysis showed the overlay accuracy of the stepper and that the focus dependency was the dominant factor of the total error. The result also showed that the flatness of the reference base was the main factor in controlling the gap width. After numerical correction of the base undulation, 22 nm (mean value + 3(sigma) ) overlay accuracy was obtained.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents the results of a simple orthogonal matrix experiment testing photoresist performance as a function of post exposure bake temperature and time. The dose latitude of quarter micron line/space pairs is found under these conditions. These empirical results are compared against those produced under identical process conditions but utilizing simulated images based on resist dissolution rate data. The matrix responses of the empirical and simulated data sets are compared. Also, these linewidth results are compared against resist characteristic data produced under identical process conditions. The matrix responses of the three data sets are compared.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Daniel A. Tichenor, Glenn D. Kubiak, Michael E. Malinowski, Richard H. Stulen, Steven J. Haney, Kurt W. Berger, Rodney P. Nissen, G. A. Wilkerson, Phillip H. Paul, et al.
Proceedings Volume Electron-Beam, X-Ray, and Ion-Beam Submicrometer Lithographies for Manufacturing IV, (1994) https://doi.org/10.1117/12.175834
The development of a laboratory EUV lithography tool based on a laser plasma source, a 10x Schwarzschild camera, and a magnetically levitated wafer stage is presented. Interferometric measurements of the camera aberrations are incorporated into physical-optics simulations to estimate the EUV imaging performance of the camera. Experimental results demonstrate the successful matching of five multilayer reflecting surfaces, coated to specification for a wide range of figure and incidence angle requirements. High-resolution, 10x-reduction images of a reflection mask are shown.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper we present the activities at the Center for X-ray Lithography (CXrL) that are dedicated to applying x-ray lithography to 0.25 micrometers processing. We first present the results of optimizing the parameters of the x-ray resist, AZ-PF 514, to achieve 0.25 micron features with variations of less than 10%; second, we discuss the properties of an exposure station (ES3) that feeds the in-house built aligner; third, we present the novel in-house built Two State Aligner (TSA) and its ability to achieve < 32 nm registration error; fourth, we present a developed fabrication process that produces masks with the required membrane stress, optical transparency, and mask flatness; and finally, we present the integration of all the above subprocesses by showing preliminary results from the in-progress 0.25 micrometers NMOS device run. The requirements and results of each sub-process are discussed and judged according to the 0.25 micrometers error budget goals that were initially set for 1997.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Micromechanics and, in particular, micromechanics for actuators requires a processing tool that can provide 3-dimensionality and can accommodate many materials in a cost effective manner. The tool is further enhanced if high geometric resolution and compatibility with microelectronics are provided for. The desired attributes for the processing tool are in part contained in the original German LIGA process which introduced deep x-ray lithography and metal plating to micromechanics with achieved structural heights above 500 micrometer. This process can and has been extended to heights above 1 cm with improved resolution by developing a low stress photoresist application technology which is based on precut photoresist sheets and solvent bonding. Exposure of these thick layers is achieved by using 20 keV photon fluxes from the Brookhaven National Laboratory 2.6 GeV synchrotron. Application of this technology to actuator construction has taken two forms: linear, spring constrained electrostatic and magnetic actuators with large throws, and magnetic rotational machines for either high output torques or very high rotational speeds.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A discharge driven, dense plasma focus (DPF) in Neon has been developed at SRL as a point x-ray source for sub-micron lithography. This source is presently capable of delivering approximately 25 J/pulse of Neon K-shell x rays (8 - 14 angstrom) into 4 (pi) steradians with an approximately equals 1.4% wall plug efficiency at a 20 Hz repetition rate. This corresponds to 500 W of average x-ray power. The discharge is produced by a capacitor bank circuit (8 kV, 1.8 kJ) that drives approximately equals 320 kA currents into the DPF load, with approximately equals 1 microsecond(s) rise-times. X rays are produced when a dense pinch of Neon is formed along the axis of the DPF electrodes. Four X ten5 discharges using a cooled DPF head have been fired producing x rays. The variation in the measured x-ray output, over several 104 shots, corresponds to a variation in the dose delivered to a resist 40 cm from the source, of less than 1%. Data showing the measurement of the x-ray output, size, dose delivered to a resist, spectra of the source output, novel beam line concepts, and potential lithographic applications are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The actinic spectra of two beamlines of the University of Wisconsin's Center for X-ray Lithography (CXrL) at the Aladdin storage ring were studied in three configurations. Some beamlines optimized for particular bandwidths are presented and their impact on mask making, aerial image quality, printed image quality, and device damage discussed. Resist performance dependence on the actinic spectrum is investigated. The exposure-gap tree response of 0.25 micron features is presented for different spectra. Resist characteristic curve data were collected for these conditions and are compared.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The radiation damage of the component materials of x-ray masks such as W-Ti absorbers, SiC membranes, and indium tin oxide (ITO) films as anti-reflection coating materials was investigated mechanically and optically. The stress change of the stress-free W-Ti absorber after an SR irradiation dose of 670 MJ/cm3 was less than 1 MPa. The radiation stability of the absorber was confirmed even after stress-free annealing treatment. Exposure of the SiC membranes was conducted for the entire area of the window under the conditions similar to those for pattern exposure. The SR-induced distortion of the SiC membrane was less than 20 nm with a dose of 130 MJ/cm3 and the decrease of the transmission after the SR irradiation was less than 1% over the entire visible region. The dependence of the membrane stress on the radiation damage was not observed. As for the ITO films, it was observed that the stress changed to the compressive side with the increase in the SR irradiation dose independent of the initial stress condition and deposition techniques. In this experiment, the spin-coated ITO showed the best stability against SR irradiation in comparison with the sputtering and electron beam (EB) evaporation techniques. The refractive index of the ITO film did not show a marked change before and after SR irradiation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Susan Sonchik Marine, Douglas E. Benoit, Kevin W. Collins, Kurt R. Kimmel, Harold G. Linde, Jeffrey P. Lissor, Danny M. Plouff, James A. Warner, Charles A. Whiting, et al.
Proceedings Volume Electron-Beam, X-Ray, and Ion-Beam Submicrometer Lithographies for Manufacturing IV, (1994) https://doi.org/10.1117/12.175800
This paper describes the evolution of a simple recirculating etch station into a successful x-ray mask membrane-etch station. The manufacturing etch station consists of a large, heated mix tank in which she ethanolamine solution is brought to reaction temperature. The etchant is then pumped into a smaller heated process tank and is continuously recirculated through a filter between the two tanks. Up to 50 substrates can be processed during one product run. Both tanks and wetted parts are made of Teflon. Salient features of the membrane-etch station include dual Pyrex reflux columns, a nitrogen blanket throughout the systems to prevent oxygen infiltration, special high-temperature Teflon and Gore-tex seals for the mix and process tank lids, and a Teflon filter in the recirculating line between the mix and process tanks. Subsequent tooling improvements included improving the thermal sensors and installing more powerful heaters. Tool qualification tests have demonstrated the membrane-etch station ready for manufacturing use. The manufacturing etch station has increased our etch capacity by almost an order of magnitude and is currently being used to produce silicon membranes for x-ray mask substrates.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Acid catalyzed photoresists have been examined for exposure using the Helios compact synchrotron x-ray source at the IBM Advanced Lithography Facility. A fundamental challenge with these photoresists is the sensitivity to contamination from the environment. This study attempts to optimize a new type of Environmentally Stable Chemically Amplified Photoresist (ESCAP) developed by IBM Almaden Research Center. A key feature of this new resist is that it does not require an extra polymer topcoat to seal out airborne contaminants. The establishment of a base process and then the enhancement of exposure latitude was the main objective of the optimization. A 5 factor Taguchi optimization was designed to test the effects of post exposure bake (PEB) temperature, PEB time, post apply bake (PAB) temperature, PAB time and develop time. Sixteen wafers were utilized to explore 3 levels for each factor. Twenty-four additional wafers were run using the optimized process with slight variations. These were split into 3 runs for an estimate of noise. The second optimization used 4 factors with 3 interactions. The 200, 300, and 500 nm isolated line structures were examined. A test for maximum photospeed pointed to the same optimum region for latitude as well as sensitivity. Across all conditions a 5X change in dose for linewidth was shown while the exposure latitude for the 500 nm varied from 21 to 54%. The slopes fit to the subsequent plots ranged from 2 - 6 nm/mJ. The PEB latitude was seen to be 10 - 14 nm/ degree(s)C.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Loretta M. Shirey, Kelly W. Foster, William P. Chu, John Kosakowski, Kee Woo Rhee, Elizabeth A. Dobisz, Charles R. Eddy Jr., D. W. Park, I. Peter Isaacson, et al.
Proceedings Volume Electron-Beam, X-Ray, and Ion-Beam Submicrometer Lithographies for Manufacturing IV, (1994) https://doi.org/10.1117/12.175802
A process for etching fine features in tungsten (100 nm linewidth or less) to produce patterned absorbers has been developed. The pattern is first defined in a chrome etch mask on the tungsten absorber layer using e-beam lithography and s then transferred into the tungsten by reactive-ion-etching. H2 is mixed with SF6 to passivate the sidewalls of the tungsten features because SF6 alone causes severe undercutting of the features. Control of undercutting is the key challenge in reactive ion etching of tungsten. With an optimum mixture of 20% H2 and 80% SF6, plus substrate cooling to -25 degree(s)C, undercutting can be controlled for 250 nm geometries. Increased undercutting has been observed at the endpoint of the etching process, the chromium etch stop layer. This is demonstrated through a computer model. The endpoint can be controlled through laser endpoint detection. For sub 250 nm geometries, additional sidewall passivation is accomplished with an intermittent etch process, thereby allowing the etching of high aspect ratio 100 nm features in 650 nm thick tungsten layers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Elizabeth A. Dobisz, Charles R. Eddy Jr., John Kosakowski, Orest J. Glembocki, Loretta M. Shirey, Kelly W. Foster, William P. Chu, Kee Woo Rhee, D. W. Park, et al.
Proceedings Volume Electron-Beam, X-Ray, and Ion-Beam Submicrometer Lithographies for Manufacturing IV, (1994) https://doi.org/10.1117/12.175803
The results of the NRL program focuses on high resolution, high aspect ratio, patterning of W are summarized. The work investigates three parallel approaches: reactive ion etching (RIE), electron cyclotron resonance (ECR) etching, and chemically assisted ion beam etching (CAIBE). Key issues that are analyzed for each process are the etch mask, anisotropy, selectivity, etch stop, compatibility with high resolution (sub-250 nm) lithographic patterning of W, and applicability to membranes. In the first two methods, prevention of sidewall undercutting was the key issue. Here the effort focuses on sidewall passivation and substrate cooling. RIE is a commonly utilized fabrication tool and the process has been developed to etch 100 nm lines. ECR is a relatively new process and there are more degrees of freedom than RIE. Both SF6 chemistry and CBrF3 chemistry have been investigated. Methods to minimize the mask erosion are described and a comparison of Cl2 chemistry to SF6 chemistry is made. The results on the three dry etching techniques are described and contrasted.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In synchrotron radiation (SR) proximity x-ray lithography (XRL), the image is formed under a partially coherent illumination condition. Typically the illumination system consists of one or more condenser mirrors that collect the radiation from a synchrotron source and provide a uniform illumination of the x-ray mask. For a non-ideal mirror surface, height irregularity might not be negligible compared to the wavelength of x ray. Although scattering from rough surfaces has been studied extensively, little attention has been given to the effect of partial coherent illumination. For proximity XRL, the angular blur of illumination as large as 2 - 4 mrad (3 (sigma) ) has been proposed on the basis of modeling. The blur from the SR source alone is typically less than 1 mrad (3 (sigma) ). In partially coherent illumination, we found that the roughness can add an extra amount of blur which is desirable. Roughness tolerance for XRL beamline mirrors is determined from this study. Finally, the influence of scattering on lithography image formation is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper reports the initial results on modeling of a positive chemically amplified photoresist for x-ray lithography. A positive tone chemically amplified photoresist, APEX-M from the IBM Corp., was exposed with synchrotron radiation. A kinetic model for exposure and post- exposure bake has been developed. The FTIR spectroscopy measurement data show that the photoacid loss reaction during post-exposure bake is described as a second order reaction. For the mask patterned photoresist, this leads to a nonlinear diffusion -- reaction equation. It was shown that the second order photoacid loss mechanism results in different values of the photoacid diffusion range for different exposure doses. A simulation method has been developed to take into account simultaneously photoacid diffusion and photoacid loss for the latent image of the photoresist. The x-ray exposure simulation tool XLITH and the photoresist development simulator SAMPLE-3D have been used for verification of the model for 0.25 micrometers patterns. The experimental and simulated profiles have shown good agreement.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes a 1 kW average power soft x-ray source for application to sub-micron lithography. This source will be capable of 1 second resist exposure times, assuming 15 mJ/cm2 resist sensitivity, with feature sizes < 0.18 micron. The source is based on the X-Pinch, a pulsed plasma soft x-ray source which was initially developed at Cornell University for lithography. Experiments have been performed to characterize the radiation emitted from magnesium (Mg) wire X-Pinch plasmas using an 80 ns, < 500 kA pulse. Applied Pulsed Power has designed and is building a 1 kW average power soft x-ray source using the X-Pinch and a 40 pulse/second (pps), 500 kA/pulser. This system is designed to deliver 25 mW/cm2, after the attenuation due to a protective beryllium (Be) foil filter and the lithography mask, to a wafer located 56 cm from the source. This paper summarizes the experimental results and discusses the implications of these test results for microlithography applications. The design of the 1 kW source is described, including the pulser, 40 pps wire array loader, and the debris shielding. Results of initial system testing are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
W-Ti film was comprehensively investigated for its application as an x-ray mask absorber. A stress-free and amorphous W-Ti film was successfully deposited by dc sputtering with a W-Ti (1 wt%) target using a gas mixture of Ar and N2. To obtain much lower stress, we firstly improve the accuracy of stress measurement up to +/- 1 MPa, and ultra-low stress film was obtained by step-annealing. The stress of the film has been found stable enough to apply to x- ray masks in an air atmosphere and for x-ray exposure. The density, composition, and microstructure of the film were also evaluated by SEM, XD, XPS, and TDS. The film surface was very smooth and the roughness measured by AFM was about 2 nm. The etching properties of the absorber using a Cr mask, ITO stopper and electron cyclotron resonance (ECR) discharge plasmas were also investigated in order to achieve a resolution of below 0.1 micrometers . Highly selective and anisotropic etching has been realized using a mixture of SF6 and CHF3, by cooling the stage to about -50 degree(s)C under controlled plasma conditions. Moreover, the microfabrication of a smooth W-Ti absorber has been demonstrated for lines and spaces patterns of 0.06 micrometers and for 1-Gbit-class dynamic random access memory patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Study of soft x-ray (0.8 - 10 keV) output from the SPX II, a spherical pinched plasma radiation source, is presented. The soft x-ray output increases with the discharge voltage. The output is also a function of the gases used and pressures. A simple analytic model was developed which can scale the imploding wave velocity with respect to the discharge voltage and the gas density. Experiments to measure the imploding wavefronts were carried out. The predictions of the model agree well with the measured results in a wide range of the parameter space.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In general, the application of finite element modeling to x-ray lithography mask distortions has assumed ideal conditions, i.e., frictionless mounts. Under actual conditions, friction can play a part in inducing both out-of-plane (OPD) and in-plane distortions (IPD) of the x-ray mask due to mounting or environmental conditions. This paper discusses the application of nonlinear three-dimensional interface elements to simulate friction in existing finite element (FEM) models of various masks and verifies the accuracy of the modeling with interferometric studies of both OPD and IPD for different mask configurations. Once the finite element models have been verified, the friction elements are then applied to a FEM model of the ARPA-NIST Mask Standard (with a kinematic mount) to determine the effects of friction on the IPD as a function of environmental temperature and clamping force. The results of this analysis show both the importance of designing ideal mounts (i.e., frictionless) as well as maintaining a fixed environmental temperature and controlling the clamping forces during the writing and exposure of x-ray lithography masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The HL-800D cell-projection e-beam lithography system was developed to meet the need for quarter-micron direct writing. It is the first commercially available cell projection system. Using the original HL-700 series concepts and Hitachi's more than 20 years of experience with HL-series manufacturing, most of the subsystems were redesigned. The HL-800D system has the following features for high-speed and accuracy: (1) a maximum beam size of 5 micrometers square with a current density of 10 A/cm2 at 50 kV acceleration; (2) a high- speed and high-accuracy, three-stage electron-beam deflection-control system; and (3) a continuous writing method to help eliminate stage-overhead time. The throughput of this system typically exceeds ten to fifteen wafers per hour for a quarter-micron pattern, though the speed depends on the total number of shots per wafer. This paper briefly describes the HL- 800D system, including its specifications, system performance data, and its potential for ULSI lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Two inexpensive and extremely accurate methods for fabricating miniature 10 - 50 kV and 0.5 - 10 kV electron beam columns have been developed: `slicing,' and `stacking.' Two or three miniature columns could be used to perform a 20 nm or better alignment of an x-ray mask to a substrate. An array of miniature columns could be used for rapid wafer inspection and high throughput electron beam lithography. The column fabrication methods combine the precision of semiconductor processing and fiber optic technologies to create macroscopic structures consisting of charged particle sources, deflecting and focusing electrodes, and detectors. The overall performance of the miniature column also depends on the emission characteristics of the micromachined electron source which is currently being investigated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Research and development of a broad area (> 1 cm diameter), high brightness (approximately 107 A/cm2 rad2), high current density (> 50 A/cm2), pulsed electron beam source for high throughput, high resolution (< 0.25 micrometers ) lithography is reported. This novel electron beam source is simple, robust, will cost significantly less than direct write electron beam systems, and allows for high throughput pattern generation (> 30 2 - 3 inch wafers/hr). The electron beam is produced by the back-lighted thyratron (BLT) and is transported through a dielectric tube to achieve focusing and collimation. Replication of 10 $,mum line structures in PMMA using a nickel grid mask has been achieved. At present, masks comprised of diamond thin film (1 - 15 micrometers ) membranes on a silicon substrate are being fabricated to study the replication of submicrometer structures in PMMA. In this case, of primary importance are mask heating and deformation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An experimental technique for measurement of the absorbed energy density distribution from the center of an electron beam is presented. The test structure and the way of determining critical exposure doses are constructed in such a way that the resist development process has no influence on the experimental results. Questions of `technological' and `physical' proximity functions usage are considered. The absorbed energy density function measurements were carried out for a number of accelerating voltages. The dependence of these function parameters on the electron's energy was determined.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, a very simple data processing system for deep submicron nanofabrication was developed on a popular 80486 based personal computer using commercially available softwares: AutoCAD and ASM3500. Each personal computer can communicate with the control computer (Micro Vax 3900) in the JBX-5DII electron-beam system using the Telnet and FTP software. Deep submicron patterning on wafer and chromium photomask were done using well established electron-beam direct write technology and evaluated using AFM and SEM. Although most electron-beam systems supply text editing program, it is hard to use even for compact pattern data and cannot inspect the overlaying of the patterns. This system can be of great cost-effective value in researching applications for nanofabrication too.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A rule-based compensation for mask feature dimensions is proposed. This technique is based on the post-process measurement of select pattern configurations. These include isolated lines, isolated spaces, and lines and spaces ranging from 5 micrometers to below 0.5 micrometers on a test vehicle mask. The difference between the coded size and the measured size is then plotted as a function of the target dimension. Using these data in conjunction with some choice `2D'-type patterns, a size correction is made for each distinct feature based upon the feature dimensions and its distance to the nearest neighbor. Given an effective rule representation, pattern corrections can be viably implemented on a chip scale by an automated feature compensation CAD system. The potential causes for proximity effect in our phase-shifting mask fabrication process and effectiveness of the proposed correction technique are also investigated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Usefulness of electron beam lithography is strongly related to the efficiency and quality of methods used for proximity correction. This paper addresses the above issue by proposing an extension to the new proximity correction program PROXECCO. The combination of a framing step with PROXECCO produces a pattern with a very high edge accuracy and still allows usage of the fast correction procedure. Making a frame with a higher dose imitates a fine resolution correction where the coarse part is disregarded. So after handling the high resolution effect by means of framing, an additional coarse correction is still needed. Higher doses have a higher contribution to the proximity effect. This additional proximity effect is taken into account with the help of the multi-dose input of PROXECCO. The dose of the frame is variable, depending on the deposited energy coming from backscattering of the proximity. Simulation proves the very high edge accuracy of the applied method.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Knowledge of the effects of fabrication using microlithography is essential when trying to reach a desired structure, i.e., when using proximity effect compensation. In this presentation, the particular case of diffractive microlenses, kinoforms, is studied. Normally, compensation for the proximity effect is done after the kinoform structure has been calculated with optical design methods. However, in this presentation it is shown that including the proximity effect already in the optical design methods leads to kinoforms whose optical quality is greatly improved.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An improved proximity effect correction method based on pattern division, which attempts to correct both for inter- and for intra-shape proximity effects, is proposed. The experimental results suggest that the algorithm has good convergence, fast data processing speed, and good correction effects. Compared with the method based on transform, our approach has apparent better correction effects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We are reporting on a chemically amplified negative-tone resist developed at IBM. The resist consists of three components: novolac resin, photoacid generator, and crosslinker. The resist is sensitive to DUV, e-beam, and x ray. However, this paper focuses on the e-beam application oriented toward x-ray mask fabrication. The mask process includes post-apply and post-exposure bake of membranes in a convention oven. The exposure was completed in an IBM EL-3+ system equipped with a variable axis immersion lens (VAIL). The membranes were developed in an APT processor with 0.03 N TMAH employing an interrupt develop process. The resist sensitivity ranged from 5 to 10 (mu) C/cm2 depending on the resist formulation and the process parameters. The contrast was found to be greater than 5.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Electron beam resists having high sensitivity and high resistance to dry etching are under investigation for sub-half micron device production using 5x reticle masks. The effects of dry etch process conditions on the performance of the novolac based chemically amplified AZPN114 and onium salt sensitized EPR resist have been investigated at 20 keV and 10 keV electron beam energies using an electron beam microfabricator under a range of dose, post- exposure bake, and development conditions. Linewidths in the range from 4 micrometers down to 0.2 micrometers have been evaluated. Pattern transfer to chromium on quartz was carried out in a commercial reactive ion etcher. A comprehensive series of RIE experiments were devised and analyzed using a commercial statistical software package. CD measurements on resist lines and also on chromium lines after dry etch, were carried out both in a field emission SEM and with a calibrated optical linewidth measuring system. A focused ion beam system was used to microsection selected resist lines on chromium and dry etched chromium lines for edge profile inspection.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An electron beam exposure method is described which provides a means of controlling the wall slope and edge profiles of developed patterns in photoresist. The method utilizes a large area, uniform (and near monoenergetic) electron beam exposure system with a programmable accelerating voltage and a precise electron beam dose monitor. Conventional optical lithography patterning is followed by a blanket electron beam exposure. Several variations of the process are described where the photoresist is exposed with the blanket electron beam before or after the development of the resist. The resist is exposed at selected doses of different accelerating voltages inducing a graded solubility at different depths in the resist layer. The process can be used advantageously for achieving undercut profiles in resist for lift- off patterning. Further refinements of the process can be used for micromachining applications and creating three dimensional molds for micromechanical structures.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents a processing methodology for direct write E-beam lithography on insulating substrates. Processes suitable for use with negative tone SAL-601-ER7 and positive tone PMMA are presented. Both are three level processes and are used for fabrication of surface acoustic wave (SAW) devices with transducer electrode line space dimensions of 0.7 and 0.4 micron, respectively. The authors method involves spinning a film of a commercially available conductive polymer, known as TQV-501, as the final coating. A Leica Instruments EBMF 10.5 electron beam tool operating at an energy of 30 keV is used to perform lithography. SAW devices were patterned with these processes and then realized by metallization with aluminum via lift-off. A two level positive tone process was used consisting of a film of P(MMA-MAA) followed by a film of PMMA. This is followed by a final spin coating of TQV-501 to provide a discharge medium. The negative tone process consists of a film of SAL-601-ER7 which is followed by a film of polyvinyl alcohol (PVA). A final film layer of TQV-501 is then applied. The PVA serves as both a diffusion barrier to prevent intermixing of the TQV-501 and SAL-601-ER7 during layering and later to prevent stripping the SAL-601-ER7 during the solvent strip of the TQV-501. Patterns are written in reversed tone form on negative resist to provide the proper final positive image tone suitable for lift-off. TQV-501 offers the advantage of simple application via spin coating and a soft bake and easy removal by stripping in solvent.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Results are presented from a study undertaken to evaluate resist casting solvent composition and molecular weight variation in PMMA for electron beam exposure. PMMA cast in several solvent systems have been evaluated for lithographic performance. Additionally, formulations in chlorobenzene with minor variations in molecular weight have been evaluated for batch-to- batch uniformity. A 10 KeV MEBES electron beam system has been used to study resist sensitivity, contrast, and process latitude. Using a two-factor, three level factorial designed experiment, prebake and development time have been varied as controlled process factors. Samples with varying molecular weights were shown to have wide process latitude. These samples gave comparable performance while their molecular weights varied from 539 K to 614 K, and polydispersity varied from 3.3 to 6.1. Resist samples with chlorobenzene, PGMEA (propylene glycol monomethyl ether acetate), and anisole as the casting solvent resulted in equivalent performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Ion projection lithography (IPL) is analogous to an optical wafer stepper except the exposing photons have been replaced by high energy, light ions. In the IPL machine being developed by the Advanced Lithography Group (ALG), a silicon stencil mask is `illuminated' by a broad area beam of hydrogen or helium ions. The ions pass through stencil mask openings and enter a multi-electrode electrostatic lens system which projects a demagnified image of the stencil mask onto a resist coated wafer substrate. Demonstrated IPL performance is covered. Independent calculations of the novel ion-optical column of the ALG prototype tool show less than 15 nm distortion over a 20 mm X 20 mm field, and indicate that even larger fields are possible. This machine will utilize standard optical, off-axis, wafer alignment and a precision laser interferometer controlled X-Y-stage. This combined `pattern lock' will enable the ALG prototype to achieve overlay requirements necessary for 0.15 micrometers geometries. The Advanced Lithography Group project for constructing the prototype ion projector is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Repair of photomasks by sputter removal of chrome and other opaque materials with a focused ion beam (FIB) of gallium results in the implantation of gallium and chrome ions into the quartz substrate. The effect is localized transmission loss in the regions where material was removed. Currently, these gallium and chrome `stains' are removed using blanket etching techniques of the complete quartz substrate, thereby restoring the transmission losses. However, these techniques are unacceptable for use with phase shift masks (PSMs). This paper describes a technique that was developed to restore the localized transmission losses to acceptable levels in situ at the FIB repair system. In particular, the development of a technique that restores transmission for i-line lithography phase shift masks for 0.50 micrometers and 0.35 micrometers technology requirements is described. Information is presented describing various applications of the process including etched glass and embedded shifter type phase shift photomasks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The advent of nanostructurization technology has also strongly pushed the development of finely focused ion beams of high intensity. While the liquid-metal ion source, LMIS, has already marked a major breakthrough with regard to the available target current densities during the last decade, the gas field ion source, GFIS, has more recently presented an even more promising solution to the problem of ion-beam processing of macroscopic target areas in reasonable time scales. In particular, this progress has been achieved by controlled generation of a small protrusion, called supertip, on top of a regular field emitter tip. In this way, ion current densities of up to 100 A/cm2 appear feasible for certain gases, such as hydrogen, helium, and neon with spot diameters down to 10 nm. Compared to the LMIS, this means an increase in target current density of more than one order of magnitude. The relevant parameters of our supertip GFIS system, characterizing source and ion optics, are given and some first ionographic applications in the fields of optical patterning and topographical structurization, demonstrating the state of the art, are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The investigation of x-ray mask fabrication distortions was initiated in an effort to identify the fabrication parameters responsible for the final x-ray mask shape and configuration. The investigation has identified the sources of fabrication-induced distortion in x-ray mask blank manufacture. The extraction of distortions at each process step allows for mask flatness control via distortion compensation as the mask fabrication process evolves. Interferometric characterization of the final mask blank configuration guarantees the mask flatness. Mask blanks with alignment windows are mapped to determine the locations of the alignment windows relative to the membrane. An additional interferometric wedge test is performed to determine the membrane tilt magnitude and orientation relative to the backside of the mask ring. With proper selection of mask blank materials and control of membrane material deposition and bonding parameters, x-ray masks up to 100 mm in diameter have been fabricated routinely with less than 5 micrometers of bow. Fine-tuning of the x-ray mask configuration may be controlled by variations in the anodic bonding process parameters. Optimization of the anodic bonding process is currently in progress.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A high-sensitivity holographic and interferometric metrology developed at the Center for X- ray Lithography (CXrL) has been employed to investigate in-plane distortions (IPD) produced in x-ray mask materials. This metrology has been applied to characterize damage to x-ray mask materials exposed to synchrotron radiation. X-ray mask damage and accelerated mask damage studies on silicon nitride and silicon carbide were conducted on the Aladdin ES-1 and ES-2 beamline exposure stations, respectively. Accumulated in-plane distortions due to x-ray irradiation were extracted from the incremental interferometric phase maps to yield IPD vs. dose curves for silicon nitride mask blanks. Silicon carbide mask blanks were subjected to accelerated mask damage in the high flux 2 mm X 2 mm beam of the ES-2 exposure station. An accelerated damage study of silicon carbide has shown no in-plane distortion for an accumulated dose of 800 kJ/cm2 with a measurement sensitivity of less than 5 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.