PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
The imaging specifications for extreme ultraviolet lithography (EUVL) projection optics parallel those of other optical lithographies. Specifications are scaled to reflect the 100 nm critical dimension for the first generation EUVL systems. The design being fabricated for the Engineering Test Stand, an EUVL alpha tool, consists of a condenser with six channels to provide an effective partial coherence factor of 0.7. The camera contains four mirrors; three of the mirrors are aspheres and the fourth is spherical. The design of the optical package has been constrained so that the angles of incidence and the variations in the angle of incidence of all rays allow for uniform multilayer coatings. The multilayers introduce a slight shift in image position and magnification. We have shown that a system aligned with visible light is also aligned at 13.4 nm. Each mirror must be fabricated with an RMS figure error of less than 0.25 nm and better than 0.2 nm RMS roughness. Optical surfaces that exceed each of these specifications individually have been fabricated. The success of EUVL requires that these specifications be met simultaneously. Keywords: EUV projection lithography, optical design, multilayer coatings, aspheric optics
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
John E. M. Goldsmith, Pamela K. Barr, Kurt W. Berger, Luis J. Bernardez II, Gregory Frank Cardinale, Joel R. Darnold, Daniel R. Folk, Steven J. Haney, Craig C. Henderson, et al.
The Sandia EUV 10x microstepper system is the result of an evolutionary development process, starting with a simple 20x system, progressing through an earlier 10x system, to the current system that has full microstepper capabilities. The 10x microstepper prints 400-micrometers -diameter fields at sub- 0.10-micrometers resolution. Upgrades include the replacement of the copper wire target with a pulsed xenon jet target, construction of an improved projection optics system, the addition of a dose monitor a d an aerial image monitor, and the addition of a graphical user interface to the system operation software. This paper provides an up-to-date report on the status of the microstepper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In order to investigate industrial applications of synchrotron radiation, Hyogo Prefecture is constructing a synchrotron radiation (SR) ring at the SPring-8 site. It will operate at an electron energy of 1.5 GeV. In September, 1998, the ring will be commissioned when the SPring-8 injector begins feeding electrons into it. We developed a beam line for EUVL under the industrial applications program. In addition, we are developing a three-spherical- mirror system for EUVL. The specifications of the exposure tool target the 0.1-micrometers generation on the SIA road map. This tool consists of illumination optics, a scanning and alignment mechanism, 3-aspherical-mirror optics, and a load- lock chamber for exchanging wafers. The exposure tool is installed in a thermal chamber located at the end of the beamline. Using this system, we plan to develop a 0.1-micrometers process and fabricate MOS devices with feature sizes of 0.1- micrometers and below.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The strong attenuation of extreme UV (EUV) radiation by organic materials necessities the use of a thin layer imaging (TLI) process for EUV lithography. Several TLI processes have been identified for potential use for EUVL, and the common theme in these approaches is the transfer of the aerial image to a thin layer of refractory-containing material, which is then used as a dry O2 etch mask during a subsequent pattern transfer to the device layer. One TLI process that has been extensively examined for EUVL is the silylated top-surface imaging (TSI) technology, which is discussed in this paper. Using a new disilane silylation reagent, dimethylaminodimethyldisilane (DMDS) and 13.4 nm exposure, the TSI process has been sued to print 100 nm lines and spaces at equal pitch and 70 nm lines and spaces at a higher 1:2 pitch. The line edge roughness for the printed lines has been determined using a custom image analysis program and, as expected, varies with the particular EUV exposure system and numerical aperture. Exposures done with 193 nm lithography and the TSI process using DMDS are also shown for comparison to the EUV results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Multilayer mirror coatings which reflect extreme UV (EUV) radiation are a key enabling technology for EUV lithography. So/Si multilayers with reflectances of 67.5 percent at 13.4 nm are now routinely achieved and reflectances of 70.2 percent at 11.4 nm were obtained with Mo/Be multilayers. High reflectance is achieved with careful control of substrate quality, layer thicknesses, multilayer materials, interface quality, and surface termination. Reflectance and film stress were found to be stable relative to the requirements for application to EUV lithography. The run-to- run reproducibility of the reflectance peak position was characterized to be better than 0.2 percent, providing the required wavelength matching among the seven multilayer- coated mirrors used in the present lithography system design. Uniformity of coating was improved to better than 0.5 percent across 150 mm diameter substrates. These improvements in EUV multilayer mirror technology will enable us to meet the stringent specifications for coating the large optical substrates for our next-generation EUV lithography system.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Currently, intense efforts are in progress to develop a system to print 0.1 micrometers features operating at an extreme UV (EUV) wavelength between 11 and 14 nm. Such a development is critically dependent on the multilayer reflectors which are needed to coat the reflective masks and the optical elements of both the condenser and the projection systems. Understanding of the optical properties of these coatings requires an accurate, well-characterized and stable measurement facility operating over the appropriate wavelength range. This paper describes a beamline installed on a synchrotron radiation source which provides exceptionally high flux, high spectral resolution, absolute wavelength calibration, and excellent higher order suppression in the EUV range. It allows reflectivity measurements to be made to a precision of better than 0.5 percent, determination of wavelength to 0.01 percent, and the measurement of scattering down to 10-10 of the specular beam. The achievement of this performance through the design of the beamline is briefly discussed, and a variety of representative results are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new set of mirrors for the SANDIA 10X microstepper has been fabricated. The optics have been tested by optical profilometry, atomic force microscopy, EUV reflectometry and EUV scattering. THese measurements allow one to predict the performance of the camera. Mo/Si multilayer coatings with the required thickness profile were produced by DC magnetron sputtering using shadow masks in front of the rotating substrates. The figure errors of the new mirrors are considerably smaller than those obtained previously, while mid-spatial frequency roughness still needs improvement. This roughness reduces mostly the throughput of the system; i.e. most of the scattered light occurs outside the field of the camera and there is only a small reduction of contrast or resolution.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Measurements of nonspecular EUV scattering from Mo/Si multilayer coated mirrors have been performed. The surface power spectral density is deduced from the angular scattering distribution and in all cases is found to be in excellent agreement with surface profile measurements, by both optical and atomic force microscopy. It is demonstrated that the effects of scatter on the EUV imaging performance of a single normal incidence optic are accurately predicted from surface profile measurements.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In EUV optical elements microscopic imperfections in multilayer coatings give rise to increased scatter and reduced integrated reflectivity. If however, the coating is in the object plane of an imaging system, the defects can be imaged. In this study, experimental work is presented on characterization of the defect density and the nature of the defects in molybdenum/silicon-multilayer coatings produced by e-beam evaporation in combination with ion-beam smoothing of the interfaces. To determine in which process steps defects are created, and how these defects can be avoided, several process parameters have been varied during single- and multilayer deposition and ion-polishing. The samples have been characterized by means of an optical particle counter and electron microscopy with energy dispersive x-ray analysis measurement capabilities. To carry out in-depth inspection of the coating, we developed a method to measure the total integrated scatter for EUV radiation from a 1 micrometers spot. Varying the photon energy around the Si- absorption edge enabled us to distinguish between surface defects and in-depth defects that cannot be seen at the multilayer surface.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We report on the development of a high-power laser plasma extreme UV (EUV) source for EUV. The source is based on the plasma emission of a recycled jet beam of large Xe clusters and produces no particular debris. The source will be driven by a pulsed laser delivering 1500 W of focused average power to the cluster jet target. To develop condensers and to optimize source performance, a low-power laboratory cluster jet prototype has been used to study the spectroscopy, angular distributions, and EUV source images of the cluster jet plasma emission. In addition, methods to improve the reflectance lifetimes of nearby plasma-facing condenser mirrors have been developed. The resulting source yields EUV conversion efficiencies up to 3.8 percent and mirror lifetimes of approximately 109 plasma pulses, with further improvement anticipated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme UV (EUV) projection system are designed with mild spheres so that the mirrors can be tested at the center of curvature without null optics. The elimination of the null optics improves the fundamental accuracy of the test. However, this test configuration is not stigmatic, and the rays from the test wavefront and reference wavefront will not trace the same optical path through the viewing system. Effectively, the test and reference wavefront are sheared in the exit pupil of the viewing system. This shear leads to an OPD contribution from the viewing system that we label the mapping error. For visible light metrology, this induced OPD error can be a significant fraction of the EUV wavelength.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The assembly of an optical system requires the correction of aberrations in the entire imaging field by making selected rigid-body motions of the optical elements. We present a rigorous method for determining which adjustment motions, called compensators, to use for alignment. These compensators are found by employing techniques from linear algebra that choose the most independent vectors from a set which are interdependent. The method finds the applied to a four-mirror scanning ring-field EUV lithography system. It is shown that out of 32 degrees of freedom in the configuration of the optical elements, only eight compensators are required on the optics. By adjusting these compensators a misaligned configuration giving 30(lambda) wavefront error can be assembled to (lambda) /50 in the absence of measurement noise.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The phase-shifting point diffraction interferometer (PS/PDI) has recently been developed and implement at Lawrence Berkeley National Laboratory to meet the significant measurement challenge of characterizing extreme UV (EUV) projection lithography systems. Here progress on the characterization of the PS/PDI accuracy is presented. Two major classes of errors affect the accuracy of the interferometer: the first being systematic effects arising from the measurement geometry, and the second being random and systematic errors caused by an imperfect reference wave. In order to characterize these contribution and calibrate the interferometer. Experimental results demonstrating a systematic-error-limited accuracy of 0.004 waves is reported.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Thermal and structural effects will be an important consideration for all advanced lithography approaches targeting the 100nm technology generation and beyond. Such effects can contribute to loss of CD control, decrease in process latitude and reticle-wafer overlay error. This necessitates a system approach to account for thermo- mechanical effects in a complete system performance analysis of an EUV lithography tool. Multilayer-coated mirrors will typically absorb 35-40 percent of the in-band radiation causing thermal deformation of the mirror figure. In addition, Mo-Si multilayer films are deposited with compressive stress of approximately 350 MPa, which will also serve to deform the mirror substrate. To study these effects, we have inter-connected the capabilities of several software packages which include thermal and structural finite element, optical, and lithographic analysis. This enables us to determine the impact of mechanical effects on lithographic metrics such as the exposure-defocus process window, pattern placement and throughput. This paper includes result from a theoretical study of an EUV alpha tool with a wafer throughput of 20 200 mm wafers per hour for the 100nm technology generation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Due to the stringent surface figure requirements for the multilayer-coated optics in an extreme UV (EUV) projection lithography system, it is desirable to minimize deformation due to the multilayer film stress. However, the stress must be reduced or compensated without reducing EUV reflectivity, since the reflectivity has a strong impact on the throughput of a EUV lithography tool. In this work we identify and evaluate several leading techniques for stress reduction and compensation as applied to Mo/Si and Mo/Be multilayer films. The measured film stress for Mo/Si films with EUV reflectances near 67.4 percent nm is approximately -420 MPa, while it is approximately +330 MPa for Mo/Be films with EUV reflectances near 69.4 percent at 11.4 nm. Varying the Mo-to-Si ratio can be used to reduce the stress to near zero levels, but at a large loss in EUV reflectance. The technique of varying the base pressure yielded a 10 percent decrease in stress with a 2 percent decrease in reflectance for our multilayers. Post-deposition annealing was performed and it was observed that while the cost in reflectance is relatively high to bring the stress to near zero levels, the stress can be reduced by 75 percent with only a 1.3 percent drop in reflectivity at annealing temperatures near 200 degrees C. A study of annealing during Mo/Si deposition was also performed; however, no practical advantage was observed by heating during deposition. A new non-thermal buffer-layer technique was developed to compensate for the effects of stress. Using this technique with amorphous silicon and Mo/Be buffer-layers it was possible to obtain Mo/Be and Mo/Si multilayer films with near zero net film stress and less than a 1 percent loss in reflectivity. For example a Mo/Be film with 68.7 percent reflectivity at 11.4 nm and a Mo/Si film with 66.5 percent reflectivity at 13.3 nm were produced with net stress values less than 30 MPa.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
X-ray lithography has been used in mix and match mode with optical steppers to build test circuits in support of DRAM and Logic development at IBM's Advanced Semiconductor Technology Center, ASTC. Prior to building the test devices, hundreds of wafers were exposed using x-ray lithography to define the etch processes for critical levels and to help separate optical lithography, resist and etching effects. The demand for this type of support required IBM's Advanced Lithography Facility (ALF) to focus on a set of pilot line issues not previously faced by this emerging lithography. The challenges and solutions which resulted are discussed. This paper examines the requirements for the introduction of x-ray into pilot line use based on ALF's most recent experience and performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The most important contributions to overlay inaccuracy are coming from well-known sources like mask pattern placement accuracy, alignment system accuracy and stage performance of the exposure tools. As the allowances for overlay budget decrease, and improvements in mask fabrication and stage performance are made, a number of previously less significant contributions, such as resolution, optical interference, and focusing accuracy of alignment system, as well as from wafer processing, have to be considered. These contributions are characterized in detail in this paper. The investigation was focused on a proven optical alignment system and overlay contribution as they apply to x-ray and optical lithography. Special emphasis was made on contributions form wafer processing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, dimensional control of critical features in proximity x-ray lithography is discussed. CD error components attributed to x-ray mask, proximity exposure and resist process are identified. Analysis of linewidth control data at 180 nm and 150 nm ground rules for synchrotron based x-ray proximity lithography is presented. Data have been collected at IBM Advanced Lithography Facility equipped with x-ray stepper built by SVGL and Helios synchrotron radiation x-ray source.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
It is well known that a point source produces a small magnification of the mask pattern on the wafer due to divergence; the effect becomes especially noticeable at the corners and edges of the field. In this article we model the image formation due to a point source and compare the deviations of the aerial images and developed patterns, from those at the center of the field. We illustrate the behavior with several computational results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Over the past five years that eh IBM Advanced Lithography Facility (ALF) has been operational, we have learned much about the practical aspects of proximity x-ray lithography: synchrotron reliability; mask manufacturing; process development; and mask and wafer handling, inspection, and cleaning. Because proximity x-ray printing requires small mask-to-wafer gaps, the primary concern is protecting the mask from large foreign material (FM). Particle heights greater than the gap represent a mask scratch or breakage risk that must be managed. ALF, the IBM Advanced Mask Facility (AMF), and the Proximity x-ray Lithography Association have developed techniques for inspection and cleaning of masks and wafers to address both large and small FM concerns. This paper will review the practical implementation of inspection and cleaning techniques as presently used in ALF and AMF.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents a review and critique on the 1997 edition of the National Technology Roadmap for Semiconductors. The '97 roadmap provides more details and is more comprehensive than the 94-roadmap in many ways. However, there are areas where even more details and better definitions of terminologies are needed. This is especially important when one has to make comparative evaluations among the new generation of lithographic machines based upon their specification. There are also questions of the extent to which lithography could be and should be pushed in order to achieve smaller features; since at some stage the smaller features may reach their point of diminishing return due to RC delays in interconnects. The question is then what challenges lithography will face at 0.01 micrometers nodes and beyond.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed a novel class of projection lithography system that provide both high-throughput resist patterning and dielectric via formation for production of a variety of electronic modules, including flat-panel displays, multichip modules, printed circuit boards, and microelectromechanical systems. The new technology eliminates limitations of current lithography tools, including contact and proximity tools, conventional projection systems, steppers and scanners, and direct-write machines. Further, the new system concept is highly modular, thereby providing equipment upgradability as well as choice of user-specified system configurations. These results are achieved with a novel, hexagonal seamless scanning concept and a single-planar stage system configuration that provide both high optical and scanning efficiencies, and combine high-resolution imaging with very large exposure area capability. We describe the new technology and present experimental results. These lithography systems are highly attractive for cost-effective production of microelectronic products with feature sizes ranging from 15 micrometers to below 1 micrometers and substrate sizes ranging from 150 X 150 mm to larger than 610 X 660 mm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We describe a novel lithography system that is capable of high-throughput projection imaging on continuous, flexible substrates in a roll-to-roll configuration. The system provides both large-area, high-resolution patterning in photoresists and via formation by photo-ablation in dielectrics, eliminating limitations of lithography tools currently used in the production of flexible circuits. The unique, modular design of the new system also provides equipment upgradability as well as choice of user-specified system configurations. These results are achieved with the combination of three key novel system features: a hexagonal seamless scanning projection imaging technology, a single- planar stage system configuration, and a roll-to-roll substrate handling system. These features provide high optical and scanning efficiencies as well as low overhead times, enabling processing throughputs as high as 4 sq. ft./min. In this paper, we describe the new lithography system concept; present the detailed system design of a recently completed machine; and discuss the key hardware subsystems, both optical and mechanical. This lithography system is highly attractive for cost-effective production of a wide variety of microelectronic products on flexible substrates, including printed circuits, multichip modules, and displays.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Optical lithography (OL) is the manufacturing base for patterning of semiconductor integrated circuits (IC). Fundamental limits on optical imaging at features below 200- nm potentially limit its continued applicability. Interferometric lithography (IL) techniques provide a demonstrated, low-cost, large area nanoscale patterning capability with dense feature resolution to approximately 50 nm. An important next step is demonstrating the capability of applying IL to ann existing pattern defined by OL. We report on a mix-and-match scheme for writing a critical layer in an electrical linewidth test structure. Two important issues are the accuracy and the overlay of the grids for the two exposure techniques. Moire techniques are used to evaluate the grid absolute accuracy for Il with expanding spherical wavefronts. For a 1-m distance to the wafer plane, the period variation is less than 5 X 10-5 over a 1 cm2 field leading to a placement error of +/- 60 nm over the field. This error scales as the inverse square of the distance to the wafer plane and can be reduced to arbitrarily levels. Collimation will eliminate this systematic error to the extent that lens aberrations are compensated. Preliminary experimental results in qualitative agreement with the analysis are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Within the n ext 10 years, sub-100 nm features will be required for state-of-the-industry devices. The tolerances for errors at 100 nm or less are substantially smaller than can be achieved today. A critical element of the error budget is the mask. For the 100 nm generation, the 4x mask image placement requirement is 20 nm with CD requirements as low as 9 nm. The challenge would be significant if the only improvement were to develop superior optical masks. There are multiple advanced technologies that are vying to be the successor to optical lithography. Each of these has a unique mask requirement. The leading contenders for the next generation are 1x x-ray, projection e-beam, ion beam, EUV and cell projection e-beam. The x-ray design is a proximity system that employs a 1x membrane mask. Projection e-beam uses a membrane mask with stabilizing struts. Ion beam lithography employs a stencil membrane mask with a carbon coating. EUV employs a 13 nm radiation source that requires a reflective mask. Cell projection e-beam has 25x or greater image masks that are stitched on the wafer. All the technologies indicated above. Once a total error budget for the mask is known, it is necessary to divide the total into the constituent parts. The major sources of distortion can be categorized into eight areas: mask blank processing, e- beam writing, pattern transfer, pellicle effects, mounting, thermal loadings, dynamic effects during exposure and radiation damage. The distortions introduced by each of these depend upon the type of mask; so, individual mask calculations must be made. The purpose of this paper is to review the modeling requirements of each of the categories and to highlight some results from each of the mask configurations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
It is commonly accepted in the semiconductor industry that optical lithography will be the most cost-effective solution for 150 nm and 130 nm device generations. Some selected layers at the 130 nm device generation may be produced using electron-beam direct-write or x-ray during the development phase. However, for the production phase, it is expected that 193 nm optical lithography with reticle enhancement techniques such as optical proximity correction (OPC) and phase shift masks (PSM) will be the technology of choice. What about post 193 nm. The range of solutions is more diverse and a clear winner has not yet emerged. The topic, however, is becoming more visible and has taken a prominent place in technical conferences in the past year. The five leading potential alternatives to optical lithography are proximity x-ray, e-beam projection (EBP), extended UV (EUV), ion projection lithography (IPL), and e-beam direct write. The search for the right answer will most likely continue for a few years, and possibly more than one alternative will emerge as an effective solution at and below 100 nm. All of the alternatives, with the exception of e-beam direct write, have one thing in common, the mask. Except for proximity x- ray, all solutions at present envision a 4x reduction of the mask-to-wafer image plane. Instead of chrome-coated quartz, a silicon wafer substrate is used. Aside from patterning, mask fabrication varies depending on the lithography absorbing substrate, and EUV requires a reflective multilayer stack. Most key lithography requirements needed to pattern the imaging layer are common to all of the candidates, at least for the reduction methods. For x-ray lithography, the requirements are significantly more stringent but at a smaller field. This paper will consolidate the requirements of the various types of masks from a pattern generation point of view and will focus on the pattern generation tool requirements to meet those mask requirements. In addition, it will explore key technologies that enable the development of the pattern generation tools.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Silicon oxynitride removal processes are characterized for incorporation into the refractory x-ray mask fabrication sequence as the hardmask removal step. It is essential that his process not alter final image placement, one of the most critical parameters affecting x-ray mask performance. In this paper, we show that 10:1 buffered HF causes large image placement movement when used on refractory x-ray masks. This is because etching in HF has deleterious effects on TaSi, resulting in highly compressive film stress. Materials analysis indicates the presence of hydrogen in the TaSi films after being exposed to HF, which is most likely affecting the film stress. Alternative processes being investigated include using a more dilute 100:1 buffered HF solution and a CHF3 plasma dry-etch chemistry. Both of these options completely remove the SiON hardmask without causing any significant image placement movement and result in high quality refractory x-ray masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
During x-ray lithography exposure scanning, intensive x-ray energy deposition in the mask membrane and absorbers causes thermal stresses and mask distortions. Transient heat transfer and thermal distortion models of the masks are presented in this paper. The x-ray beam, which has a Gaussian distribution in the vertical direction and is uniform in the horizontal direction, intermittently scans the pattern area in the vertical direction. The models were used to analyze the ARPA-NIST National x-ray Mask Standard with a 50 mm X 50 mm field size. Effects of exposure parameters are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As feature sizes decrease and the demand for throughput increases, the semiconductor industry must concentrate on pattern positioning accuracy and process efficiency. Thermomechanical distortions induced in the photomask during fabrication may act to constrain the desired range of operating conditions to meet the manufacturing requirements for pattern placement accuracy and throughput. 3D finite element heat transfer and structural models have been developed to determine the global in-plane distortions induced in the photomask during e-beam patterning. Results obtained from these models show that the thermal-induced distortions, caused by global heating, are significant. Whereas, distortions due to the mechanical loading, caused by resist in situ stress relief, are minimal and can be neglected.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The development of mixed proximity and binary phase holographic x-ray masks for feature sizes of 50nm is continued for mask to wafer gaps of 50micrometers for printing into photoresist with 1nm x-rays. The maximum gap for binary holographic correction for image blurring on reconstruction is shown to be limited by the symmetry impressed by the binary phase encoding. The computation of the holograms is described. A hologram is calculated in patches limited in size by computational restrictions and procedures are described for superposition at the wafer of structures reconstructed from adjacent patches. The fabrication of binary masks is well suited to electron beam writing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Proposed high throughput maskless multi-parallel electron beam systems require a large current, which can also potentially destroy the imaging quality due to Coulomb interaction effects. By using a Monte Carlo approach, we have studied the beam current limitation set by Coulomb interaction among electrons at 0.1 micrometers resolution under a variety of column lengths and acceleration voltages for both a blanker aperture array system and a photocathode system. By taking into account the limits from the resist sensitivity and the freedom of system parameter selection, the throughput performance is evaluated in terms of an upper limit and a lower limit, and the feasible system configurations are suggested for achieving the desired throughput as well as 0.1 micrometers resolution. Some results for SCALPEL are also obtained, and the comparison of different lithography tools are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper will provide a comprehensive review of the critical dimension performance of the V2000 in the areas of CD uniformity, CD linearity, CD precision, CD butting, CD X- Y bias, and line edge roughness. In addition a thorough comparison will be made of the writing times achieved for a wide range of masking levels on both advanced microprocessor and memory devices, as well as technically challenging test plates. These write times will be compared to those achieved by commercially available raster scan e-beam tools and laser beam systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new electron beam lithography system for masks needed in production of 1Gbit DRAM devices was developed and evaluated. The system features a variable shaped beam, 50 kV accelerating voltage, and a step and repeat stage, and incorporates new technologies, including a high resolution high current density electron optical system, a per-shot beam correction unit, a high precision beam detection system utilizing the curve fitting method, and a single-stage 20 bit beam deflection unit. The system achieves a minimum linewidth of 200 nm or less, pattern uniformity of 20 nm within field, and a positional accuracy, including field stitching accuracy, of 20nm within a field, resulting in an exposure speed at least 5 times faster than the existing model, the JBX-7000MVII.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Electron beam (EB) lithography along with photo lithography is a good candidate for fabricating fine patterns smaller than 200 nm. We examined the pattern fidelity which was one of the most important points for applying EB lithography for proto-typing ULSIs. We used a direct writing EB system with a shaped beam. In this paper, we applied EB direct writing for the gate fabrication of 250-nm CMOS devices. The fidelity in resist patterns was 55 nm. The deviation was 21 nm, and the variation was +/- 17 nm. We also applied EB/DW and i-line exposure for the gate fabrication of 350-nm CMOS devices, and measured the source-drain current in nMOS transistors. We compared the Lg fluctuations which were calculated by Ids fluctuations. The magnitude of the fluctuations around Lg- equals 350 nm with EB/DW was less than half of that with i-line. According to these result, EB lithography is very effective for fabricating very fine gates of ULSIs.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In order to obtain highly accurate patterns for 0.13micrometers design rule and below by electron beam exposure system with high throughput, it is necessary to use block exposure system and to correct proximity effect. We have developed proximity effect correction system for block exposure system using supplementary exposure method. By using this system, line width difference for pattern area density from 5 percent to 40 percent decreased from 70nm to 15nm in 0.13 micrometers line and space patterns. Line width difference between the proximate and isolated region also decreased from 70nm to 20nm. Necessity of proximity effect correction considering coulomb interaction effect is also pointed out.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Synchrotron radiation (SR) stimulated etching shows unique material selectivities. SR decomposition of silicon hydrides on Si(100) surface measured by IR reflection absorption spectroscopy also shows unique reaction selectivities; SiH2 and SiH3 are decomposed but SiH is not. These material selectivities are qualitatively explained by the quenching of the excited electronic states. The material selectivity, reaction selectivity by the excitation energy tuning to the specific core electron excitations, and extremely high spatial resolutions owing to the short wavelength nature, SR stimulated etching is a potentially powerful technique for the nanometric processes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Non-chemically amplified resists offer advantages over chemically-amplified (CA) resists because they are less susceptible to temperature variations and contaminants. In order for non-CA resists to be viable, they have to perform lithographically at an equivalent level with the CA resists from the point of view of quantum yield, resolution and etch resistance. We report here on new non-CA resists based on polymer esters that undergo deesterification to the corresponding acids upon exposure to UV, x-ray and e-beam radiation. The efficiency of the radiation reaction is surprisingly high. The resulting poly acids are base soluble and can be employed as positive working resists. The resists are composed of polymers and copolymers of methacrylate esters. The sensitivity of one derivative to x-ray is 75 mJ/cm2 and to e-beam is 1.0 (mu) C/cm2 at 10 KV. Best resolution obtained was 125 nm with x-ray radiation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The Electron Beam (EB) direct writing of a SiO2 thin film is one of the promising methods to realize sub-10nm scale patterning. These ultrafine dimensions are required only when we want to observe quantum effects in the devices or to fabricate devices which operate on quantum phenomenon. However, in order to apply a SiO2 resist or other Si- based inorganic resists in quantum device fabrication, it is necessary to develop a process for them, first. In this paper, we describe the Si-technology compatible process developed for the Si-based inorganic EB resist made of SiO2 and c-Si layers. The process is optimized for 10-nm scale patterning. Further the developed process is used to demonstrate the fabrication of ultrafine Metal-insulator- metal tunnel junctions to be applicable to metallic devices operating on quantum effects, especially, the Single Electron Tunneling effect. So far, using this process, the Ti-TiOx-Ti overlapping type tunnel junction structures with minimum size approximately 9 nm X 9 nm could be fabricated successfully. Recently, we have applied this process to demonstrate lateral type, Ti-Air gap-Ti, tunnel junctions in which we could clearly observe a single electron coulomb blockage effect at approximately 160 K.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Conducting resists have a number of potential applications in microlithography (1). For example, during electron beam (e-beam) exposure of insulating materials, pat tern distortions and displacements are observed due to charging ofthe surface. In addition, charging results in alignment errors from one level oflithography to another. Charging is also a problem in SEM metrology ofmasks or resists. Conducting polymers have been shown to function as effective discharge layers when applied as coatings either above or below the imaging resist or on the surface ofa mask (1-3). Resists have also been developed based on conducting polymers (1,3-5). However, the overall lithographic perform ance ofthese systems has not been competitive with conventional resists. Polyaniline has been one ofthe preferred conducting polymers for these applications because it combines high solubility, ease of synthesis, and a great deal of chemical versatility. Previously, we reported on a new family ofwater based polyaniline denvatives which form excellent dissipative coatings. We also reported on conducting resists based on these systems (3). In this paper, we report on a new negative tone resist, PAM WM. This is based on functionalization of a hydroxysubstituted polyaniline with methacrylate side groups.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed a process that uses a series of depositions and etches to pattern poly-silicon gates, eliminating the component of line width variation that normally arises from photolithography. Because the depositions and etches that determine line width are well controlled, we can pattern finer lines with better control using this process than with conventional methods. The results presented here show 3(sigma) < 10 nm for 100 nm lines. They are consistent with requirements for patterning gates in 2006 according to the 1997 edition of the National Technology Roadmap for Semiconductors. Using this patterning technique, we have made 100 nm nMOS transistors with 2 nm thick gate oxide, operating at 1.3 V. The distributions of important variables that characterize the operation of these transistors are shown to be much tighter than we obtain with conventional lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The paper presents the attempt of the use of the C60 thin films as a negative electron beam resist. A comparative study of C60 films polymerized by the He-Cd laser and electron beam is made. It is shown that under the exposure by laser irradiation of 2.8 eV polymerization begins from 104 and saturates at 105 photons per fullerene molecule, whereas total polymerization under the electron beam requires the dose of 0.1 C/cm2. Laser irradiated films obtained both in air and vacuum have porous structure with void fraction of 0.30 and 0.55 correspondingly and act as a host lattice for intercalated oxygen. Films polymerized by electron beam show higher polymerization degree as evidenced by ellipsometric measurements and Raman spectra. The research conducted shows that the fullerene material is to be a promising resist for the micro- and nano-pattern manufacturing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents preliminary data on 300 nm wafer coatings by comparing photoresist coats on 150 nm, 200 mm and 300 mm wafers. Conventional methods of applying photoresist have ben prove effective on wafers with diameters up to 200 nm. How well 150 mm and 200 mm coating processes apply to 300 mm substrates is the focus of this paper. Spin speed versus photoresists thickness curves will be reviewed for all three wafer sizes.Additionally, two major coating uniformity factors, photoresist and cool plate temperature, will be studied for 200 mm and 300 mm wafers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new software package TEMP has been developed to simulate resist heating effects in high throughput e-beam lithography for photomask manufacturing. The TEMP package is based entirely on numerical methods. It consists of three simulators; the energy deposition simulator by 3D Monte Carlo method, the thermal diffusion simulator by 3D finite difference method and the resist development simulator by 3D cell removal method. A new double-cell numerical scheme was developed to achieve high accuracy of temperature information for fine pattern structures without high demand on computer memory. The change of resist sensitivity due to heating was experimentally characterized by a heating stage constructed inside an e-beam lithography system. The resist layer was exposed while it was being heated at different temperature. The measured sensitivity variations due to heating were fitted into an empirical model and is employed in the resist development simulator, so that the 3D developed resist images with heating effects can be simulated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A fast simulator for electron beam lithography called SELID, is presented. For the exposure part, an analytical solution based on the Boltzmann transport equation is used instead of Monte Carlo. This method has been proved much faster than Monte Carlo. All important phenomena are included in the calculation. Additionally, the reaction/diffusion effects occurring during post exposure bake in the case of chemically amplified resists are taken into account. The result obtained by the simulation are compared successfully with experimental and other simulation results for conventional and chemically amplified resists. The case of substrates consisting of more than one layer is considered in depth as being of great importance in electron beam patterning. By using SELID, it is possible to forecast the resist profile with considerable accuracy for a wide range of resists, substrates and energies. Additionally, proximity effect parameters are extracted easily for use in any proximity correction package.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
When making photomasks for low-k1 lithography, critical dimension (CD) control is more important than pattern resolution on the photomask. Photomasks are written by an electron-beam (EB) writing system or a laser beam writing system. A high-acceleration-voltage EB writing system that has less beam blue is now expected to be a useful tool for fabricating photomasks with accurate CD control. To clarify the latitudes of dose accuracy and beam blue for photomasks of under 0.15 micrometers lithography, we studied Cd controllability for with dose fluctuation respect to beam blue and resist thickness using a 50 kV acceleration voltage EB writing system. The CD variation rate with does fluctuation strongly depends on pattern density, beam blue and resist thickness. The CD variation rate decreases with decreasing beam blur. Thinner resists, especially, are effective for improving CD control when beam blue is small enough. However, when beam blur is 70 nm, the effect of thinner resists in controlling CD is less because the origin of deposited energy blur is primarily the beam blur. To realize CD control within 10 nm, +/- -3 dose accuracy, 40 nm beam blur, and 30 nm resist thickness are necessary.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Melvin A. Piestrup, Michael W. Powell, J. Theodore Cremer, Louis W. Lombardo, V. V. Kaplin, A. A. Mihal'chuk, S. R. Uglov, V. N. Zabaev, D. M. Skopik, et al.
Transition and parametric radiators are proposed as sources for EUVL and XRL. Collimated soft x-rays and extreme UV (EUV) radiation can be generated using electron beams with moderate electron-beam energies, unlike synchrotron radiators, which require energies of greater than 300 MeV. Earlier work focused on using transition radiation in the 0.5-3.0 keV range with electron beam energies between 17-100 MeV for output wavelength around 1.4 nm. However, tunable quasi-monochromatic emission in the EUV as well as x-ray regions can be also obtained using parametric radiators. We propose that a compact betatron be used to recycle the beam through these radiators for higher x-ray efficiency. Experiments using storage rings and simulations using known betatron parameters are presented here that demonstrate the electron beam can be recycled through the thin radiators up to 300 times. With this increase in efficiency, the source output power is expected in the range of 100 mW.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In electron-beam cell projection lithography, it is important to achieve high resolution and high throughput enough for use in mass production. Mask bias method has been demonstrated to be very effective in improving both performance. However, factors of mask bias effects have not been clarified. In this paper, we have analyzed the factors of the mask bias effects and have discussed a method for estimating the optimum bias. We have found that the decrease of beam blur due to the Coulomb interaction and expansion the space of streams to decrease the beam overlapping neighboring each other are the main factors and that the back-scattering effect can be negligible. Finally, we have derived and proposed a method for obtaining the optimum mask bias that produces high resolution and high throughput.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For development and practical fabrication of advanced ultra- large-scale integrated circuits (ULSIs), cell projection (CP) electron beam (EB) lithography has the advantage of high writing throughput, compared with conventional variably shaped (VS) EB lithography. However, when the CP method is used for fabricating advanced ULSIs, the shot stitching accuracy for the minimum feature size between CP and VS EB shots becomes a serious problem. To obtain highly reliable shot stitching accuracy between CP and VS EB shots, we developed a highly accurate stitching method using a cross- correlation method for measurement of the CP EB shot center position. By using the cross-correlation method between ideal and practical detected signals, an estimation stability error of less than 10 nm for the position of the CP EB shot center was achieved. We applied this proposed method in Gbit DRAM pattern fabrication. The mean value for the EB shot stitching accuracy was always suppressed to less than 10 nm. As a result, we obtained a shot stitching accuracy of less than 25 nm between CP and VS EB shots.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new dry etching technique--development-free vapor photolithography was used to transfer pattern on silicon nitride film. Plasma enhanced chemical vapor deposition silicon nitride film and thin low pressure chemical vapor deposition silicon nitride film can be etched to get positive pattern. The difference of etching rate between exposed area and unexposed area was attributed to the concentration difference of accelerators which was realized through photochemical reaction. The reaction mechanism and other phenomena have also been discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A highly sensitive resist is required for the fabrication of x-ray membrane masks (1X) using electron-beam lithography. Current products require precise control of 175 nm critical dimensions with test features of 100 to 125 nm. UVIII, a chemically amplified positive resist designed for DUV processes, also functions as an electron-beam resist with sensitivities of 12 to 30 (mu) C/cm2, depending upon the bake parameters. This paper discusses the process and demonstrates the capability of the resist on membranes. The post-apply bake (PAB) and post-expose bake (PEB) affect the resist sensitivity and process latitude of UVIII. The process was defined using a statistically designed experiment (DOE) to optimize the PAB and PEB conditions. The figures of merit included resist sensitivity, dose latitude and resolution. When the patterning process was defined, the etch processes were developed for both the SiON hardmask and TaSi absorber. Features as small as 100 nm have been successfully transferred from UVIII resist into the TaSi membrane with critical dimension (CD) uniformity of less than 20nm 3(sigma) within a mask. The process latitude, high resolution, and excellent CD uniformity indicate the UVIII resist is compatible with the manufacturing environment required for the fabrication of x-ray membrane masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A Fourier optical system is used to address the problem of aperiodic pattern fabrication associated with interferometric lithography. The low frequency pattern defined by a pair of masks is modulated by the high- frequency interferometric pattern by splitting the optical path and introducing interferometric optics. This combined optical and interferometric system results in an image whose frequency content covers continuous high frequency regions instead of the discrete high frequency components associated with interferometric lithography. At the same time, resolution is characteristic of the interferometric rather than the optical exposure. This approach is in keeping with traditional optical lithography providing significantly enhanced pattern flexibility while still retaining the small-CD advantages of interferometric lithography. Experimental results are in good agreement with the model predictions of the product of the mask image and the interferometric line:space pattern.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed a new EB calibration method for adjusting both Koehler illumination condition and beam current density precisely in the EB direct writing system EX-8D. Koehler illumination condition is adjusted by controlling the condenser lens so that the beam size change on the target vs. focus change of the objective is minimized. Beam current density is adjusted to the desired value by controlling the two condenser lenses which acts as a zoom lens function and maintaining above Koehler illumination condition. Using this method, beam size deviation was improved to less than 2 nm for a focus change of 10 micrometers , and beam current density was controlled to less than 0.5 percent error from the desired value. This beam calibration was executed in less than 10 minutes. We have also evaluated the pattern roughness and the pattern size deviation depending on the focus change by delineating a 0.125 micrometers line and space pattern. The pattern roughness was controlled to less than 2 nm and the pattern size deviation was less than 2 nm for a focus change of +/- micrometers .
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
During the process of an x-ray mask, anodic bonding makes the wafer to be distorted largely because of the difference between thermal coefficient of a wafer and Pyrex. A novel structure which has an additional wafer on the lower surface of Pyrex was suggested to reduce x-ray mask OPD and the case calculations have been made in this paper. For the structure used in this study, the x-ray mask OPD of the suggested structure was 4.456 micrometers . The effect of the additional wafer inner radius on the x-ray mask OPD was also presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Nuclear magnetic resonance spectroscopy (NMR) has been sued for he identification of several products from syndiotactic- rich poly(methyl methacrylate) (PMMA) sheet exposed to x-ray and UV irradiation. Two chain-scission products and two chain-intact products were observed using 1D and 2D NMR spectroscopy. The 750 and 400 MHz 1D hydrogen (1H) spectrum and the 2D 1H, 1H-COSY, 1HMQC1 and HMBC1 spectra permitted the assignment of many hydrogen and carbon NMR signals for the four products which are still polymeric. Additional signals were observed for minor stereoisomers. Methyl formate, s small molecular product, was found in both the x-ray and UV degradations. Acetaldehyde was also observed from x-ray exposure but not UV exposure. Important results from this work are well- resolved chemical 'fingerprints' for x-ray and UV exposed PMMA.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The surface preparation of wafers used with chemically- amplified resist is critical for successful resist processing. GaAs wafers provide an additional complexity because the composition of the surface can be greatly affected by the chemical treatment and subsequent resist processing conditions. In order to get consistent rust with GaAs wafers, we have found that the surface composition of the wafer has to be determined. Secondary ion mass spectrometry (SIMS) and electron spectroscopy for chemical analysis were used to determine the surface composition before and after the treatment with HCl. A non-destructive and simple method of contact angle measurement was used to provide advance warning of difficulties with adhesion between GaAs and Shipley SAL605 negative-tone chemically- amplified resist. An observed correlation between the contact angel of water suggested that, when it was in the range of 60 degrees to 75 degrees, the wafers generally showed good adhesion when using aqueous developer, Shipley MF312. From the work of direct measurement of the wafer surface before and after treatment, we have found conditions that permit patterning linewidths on the order of 0.15 micron in 0.5 micron thick resist. The effects of each of the surface treatments found to influence the adhesion will be described in terms of the chemical changes on the wafer surface and their effect on the resist chemistry.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The feasibility of using thin films of organic material as a protective cover for x-ray lithography masks has been demonstrated. A pellicle structure that fits unobtrusively inside the mask-wafer gap and on the x-ray lithography NIST standard ring was developed. The pellicle solves several problems: 1) protects the mask absorber from direct contact to external sources of possible damage without itself contacting the absorber, 2) allows effective cleaning of the x-ray mask by preventing dust or debris particles from getting imbedded in the absorber pattern, 3) reduces contamination of the stepper and wafers by absorber metal debris in the event of catastrophic breakage of the mask membrane, 4) reduces contamination of the absorber pattern by debris generated by the resist or the stepper environment during x-ray exposure, and 5) reduces photoelectrons generated by the absorber during x-ray exposure from reaching the resist. Furthermore, pellicles may offer inspection advantages by providing a flat surface without absorber topography. Several approaches for the fabrication of suitable pellicles using organic and inorganic films will be presented in this paper. Pellicles have been successfully tested in the SVGL and SUSS steppers in the IBM Advanced Lithography Facility in the East Fishkill, NY. Results on pellicle's performance together with radiation damage measurements to estimate a pellicle's lifetime are presented in this paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Photospeed requirements for 193 nm and EUV lithography are approximately 10 mJ/cm2. As wavelengths are scaled, photon energy is increased, and the discrete photon events may become a fundamental contributor to resist edge roughness. A theoretical analysis of the shot noise impact on line edge roughness was performed. Based on the results, we estimate 1 nm of shot noise induced roughness at 10 mJ/cm2 resist sensitivity for 193 nm lithography. Therefore, discrete photon events are not expected to be a significant contributor to local CD control in 193 nm lithography. We conclude that edge roughness typically observed in 193 nm resists is therefore a process related effect.e However, at EUV, we are approaching a shot noise limit and edge roughness may be enhanced by photon counting effects. Without taking photo-acid diffusion into account, we estimate 8 nm of shot noise induced edge roughness at the EUVB wavelength and 10 mJ/cm2 sensitivity. Hence, local CD control may be compromised by the stringent 10 mJ/cm2 photospeed requirement. Edge roughness is improved by relaxing the resist sensitivity requirement. In addition, most resist technologies are likely to be chemically amplified, and thermally driven diffusion will improve local CD control but at the expense of image contrast and cross- wafer CD control.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We illustrate the importance of considering scattering from the illuminator in extreme UV lithography systems. Our results indicate that a significant amount of amplitude modulation noise is present in the aerial image if scatter is present in a Koehler illuminator. The effect depends on the spatial frequency of the pattern on the mask, the numerical aperture of the projection camera, the coherence factor, and placement of the plane in the illuminator where the scattering occurs.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
By operating at wavelength near 10-20 nm, EUV lithography can allow for imaging below 100 nm with limited diffractive losses. The reflective optics involved in such systems require multilayer coatings with stringent demands on throughput, uniformity, and stability. The performance of such multilayers is dependent on the optical properties leading to multilayer stacks requiring up to 40 layer pairs. An exhaustive study of potential material combinations has been performed. Detailed results from the investigation of candidate EUV reflective multilayers is presented. Beryllium and silicon are unique in the 10 to 20 nm wavelength range as materials with high index values and suitably low absorption. The best performing coatings within this range are therefore combinations of these two materials with low absorbing low index films, including many refractory metals. For each multilayer coating type investigated, the wavelength of maximum reflectivity has been optimized, and stress, thickness variation, scattering, and interfacial layer formation effects have been characterized.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Proximity printing using synchrotron x-ray lithography provides high resolution pattern transfer with large depth of field, low diffraction effects and no reflection form the substrate. Electro-plating of photo-resist allows deposition of thin, uniform films over geometrically complex and topographically diverse, electrically conductive surfaces. Two electro-deposited photoresists produced by Shipley, EAGLE 2100 ED negative tone and PEPR 2400 positive tone resist, have been tested with x-rays demonstrating micron pattern transfer over depths-of-field in fractions of millimeters.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Pattern specific emulation (PSE) may be required for ion- beam projection lithography to meet the high pattern placement requirements in the sub-0.13 micrometers regime. To effectively employ PSE, it is necessary to simulate the distortion of the stressed mask membrane due to the fabrication of the stencil void pattern. Equivalent modeling techniques have been developed to determine both global and local in-plane distortions (IPD) using finite element (FE) methods. IPD is calculated by first dividing the patterned area of the membrane into subregions of equivalent void fraction. These subregions are based upon the percent void fraction as well as the pattern geometry. For each subregion, a set of equivalent elastic constants are used as input into the FE model to represent the stiffness of the actual perforated membrane. Global distortions are then computed to simulate the pattern transfer process. In this paper, a verification test case for equivalent modeling and an example of PSE for a complete mask pattern are presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The effect of photoacid generator and photogenerated acid molecular structures on a negative-tone chemically-amplified resist was tested using two different sets of acid generators, each set with one formulation creating a 'volatile' acid, and the other formulation creating a 'non- volatile' acid when exposed to x-rays. The acids from one set were generated from a derivative of iodonium salt and the acids from the other set were generated from a covalently bound photoacid generator. Both sets were compared to Shipley SAL 605 resist. In this study of five formulations, normalized remaining thickness (NRT) curves, SEM images of printed lines, spectrophotometric titration of the photogenerated acid, real-time curves, SEM images of printed lines, spectrophotometric titration of the photogenerated acid, real-time FTIR for kinetics of the PEB reaction, dissolution rate measurements, and atomic force microscopy for surface roughness were employed. RT-FTIR suggested that both the proposed 'volatile' and 'non- volatile' acids were retained to approximately the same extent within the films cast from these formulations. A mechanism is suggested where the type of photogenerated acid has an effect on the kinetics of the reaction and the photogenerated acid or photoacid effect on the kinetics of the reaction and the photogenerated acid or photoacid generator has a large effect on the ability of the aqueous developer to penetrate or dissolve the film.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV lithography (EUVL) is a leading candidate as a stepper technology for fabricating the '0.1 micrometers generation' of microelectronic circuits. EUVL is an optical printing technique qualitatively similar to DUV lithography (DUVL), except that 11-13 nm wavelength light is used instead of 193-248nm. The feasibility of creating 0.1 micrometers features has been well-established using small-field EUVL printing tools, and development efforts are currently underway to demonstrate that cost-effective production equipment can be engineered to perform full-width ring-field imaging consistent with high wafer throughput rates. Ensuring that an industrial supplier base will be available for key components and subsystems is crucial to the success of EUVL. In particular, the projection optics are the heart of the EUVL imaging system, yet they have figure and finish specifications that are beyond the state-of-the-art in optics manufacturing. Thus it is important to demonstrate that industry will be able to fabricate and certify these optics commensurate with EUVL requirements. Indeed, the goal of this paper is to demonstrate that procuring EUVL projection optical substrates is feasible. This conclusion is based on measurements of both commercially-available and developmental substrates. The paper discusses EUVL figure and finish specifications, followed by examples of ultrasmooth and accurate surfaces, and concludes with a discussion of how substrates are measured and evaluated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The process for replication of high aspect ratio Au patterns typically includes x-ray lithography, RIE and electroplating. In this paper study of linewidth of dense L/S patterns in a wide range of periods is undertaken through the replication process. Effects of exposure dose, mask-wafer gap, RIE and electroplating process parameters on linewidth are addressed. We found that RIE parameters are the main factor affecting the linewidth. Based on the result of this study, we propose to introduce a bias in the mask pattern to the linewidth. Based on the results of this study, we propose to introduce a bias in the mask pattern to compensate the linewidth changes occurring during subsequent replication steps. Most interestingly, a mask with a required bias can also be produced by a self-biased process. Bias adjustment has been demonstrated for 0.1/0.1 micrometers L/S features with aspect ratio of 6. To further increase aspect ratio, a wet process is developed. An aspect ratio of 9 is achieved for 0.1 micrometers Au L/S patterns by using the wet process. With this method, the linewidth fidelity during replication is substantially improved.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Conventional optical lithography (OL) is limited by the spatial frequency coverage of the optical system. Inter- ferometric lithography (IL), which approaches the ultimate linear system spatial frequency coverage limit of optics, provides a simple technique to produce periodic patterns at the requisite scale for the next several ULSI generations. Imaging interferometric lithography (IIL), a true integration of optical and interferometric lithography, extends this capability to arbitrary pattern fabrication. Modeling and simulation results show that arbitrary patterns with dense CDs extending to 120-nm at I-line and to 65-nm at a 193-nm exposure wavelength are possible. Initial experiments demonstrate that the coverage in frequency space is increased for a 3-exposure IIl configuration and the resolution is concomitantly increased by a factor of 3. Development of IIL may extend the life of optical lithography to sub-100-nm CD generations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Finite element (FE) models have been created to investigate mechanical distortions associated with mask blank fabrication and mounting in a horizontal orientation. A modal analysis was completed to quantify the natural frequencies for the mask blank as a predictive tool for possible vibration prevention or control. By modeling the substrate with layers associated with the mask fabrication process and then by prestressing these layers, the resulting out-of-plane distortions (OPD) and in-plane distortions (IPD) can be determined. Utilizing these models, the magnitude of the maximum IPD and OPD due to gravity have been determined as a function of the mounting location to optimize the mounting position.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Considering semiconductor industry projections, sub-0.10 micrometers technology will most likely require a new advanced lithography. Scattering with Angular Limitation Projection Electron-beam Lithography (SCALPEL) is one such lithography being developed to meet this need. As with all lithographies, successful implementation of the SCALPEL technique is dependent upon the development of a low- distortion mask; distortions lead to pattern placement errors on the integrated circuit. Therefore, finite element (FE) models have been developed in order to quantify and minimize mask membrane distortions. The support grillage, i.e., the struts, in the pattern area on a SCALPEL mask require a large number of elements to determine mechanical displacements of the mask membrane. The element density becomes computationally expensive and may exceed the computer hardware limitations. Therefore, an equivalent modeling technique has been developed to reduce the number of elements required to simulate the behavior of the mask, thereby reducing computation time and remaining within the computer hardware limitations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The error budget allotted to a lithographic mask is generally only a small fraction of the critical dimension of the device features. Consequently, ion projection lithography in the sub-0.13 micrometers technology regime will place large demands on image placement accuracy, a component of which is mask distortion. During the design stage then, it is desirable to identify those intrinsic loads which distort the mask pattern from its intended shape and, ultimately, to reduce those distortions to an acceptable level. This paper assesses the in-plane distortions (IPD) due to gravity as a function of the mask's geometric parameters. The optimal mask geometry is identified by minimizing the IPD function.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Structural damping experiments on x-ray mask membranes have been conducted at the University of Wisconsin and are reported in this paper. For the experiments, the mask format complied with the ARPA-NIST National X-ray Mask Standard. Effective damping parameters have been measured for a 29 mm X 29 mm X 2 micrometers silicon nitride membrane over a pressure range from 1 mtorr to atmospheric. Viscous effects, squeeze film compressibility and added mass effects have al been assessed. Experiments have also been performed on 20 mm and 13 mm square silicon nitride membranes in order to examine the effect of surface area on structural damping. Results from these experiments will provide valuable data on the damping characteristics of mask membranes, ultimately needed in the dynamic transient modeling of mask structural components.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, the printability of Extreme UV (EUV) mask defects at 100 nm design rule is studied via top surface imaging (TSI) resist process. The EUV mask defect size requirement is determined by taking into account the wafer process critical dimension (CD) variability. In the experiment, a programmed EUV absorber defect mask was first fabricated by subtractive metal patterning on a Mo/Si multilayer-coated silicon wafer substrate. The 10X experimental EUV lithography system with 13.4 nm exposure wavelength and 0.08 NA imaging lens was used to expose the programmed defect mask. The resists CD response to the metal absorber mask defect area is measured under different process conditions, i.e., different exposure doses. It is found that similar to a single-layer DUV resist cases that have been studied before, the EUV resist CD responds to the mask defect area linearly for small mask defects. From such a set of CD-defect response lines, the allowable absorber mask defect requirement is assessed via the statistical explanation of the printable mask defect size, which is tied to the wafer process specifications and the actual wafer process CD controllability. Our results showed that a clear and an opaque intrusion absorber mask defect as small as 60- 80 nm is printable at 100 nm design rules. Based on the statistical defect printability analysis method that we have developed, the printable mask defect size can always be redefined without additional data collection when the process controllability or the process specification changes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A traditional aerial image model has been used to demonstrate a good applicability of 13nm EUV projection lithography to printing sub-0.1mm features. To estimate the potential of a possible candidate for EUV optics--a two- mirror projection system, we investigated the issues of aerial image formation by the reflective optics with account for aberrations. We have developed a simplified method to determine the optical parameters of the ring-field system that minimize aberrations of the 3rd order and, partially, of the 5th. As a result, we have found that the uncompensated aberrations contribute to a sharply asymmetrical impulse response of the ring-field projection system, where the characteristic width of the impulse response in some directions might be two times larger than the diffraction limit.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A method was developed to separate and quantitatively characterize a contribution of resist heating and proximity effects into CD-variation in electron-beam lithography. An experimental and theoretical study of these two effects were done using a 30 kV variably shaped beam system. TEMPTATION software tool was used to simulate temperature rise during electron exposures. Good agreement of experimental results and simulated data was found. A method was developed to measure proximity function which is free of resist heating influence.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Interference lithography is an emerging technology that provides a means for achieving high resolution over large exposure areas with virtually unlimited depth of field. 1D and 2D arrays of deep submicron structures can be created using near i-line wavelengths and standard resist processing. In this paper, we report on recent advances in the development of this technology, focusing in particular, on how exposure latitude and resist profile scale with interference period. We present structure width vs. dose curves for periods ranging from 200 nm to 1.0 micrometers , demonstrating that deep submicron structures can be generated with exposure latitudes exceeding 30 percent. Our experimental results are compared to simulations based on PROLITH/2.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The potential for SCALPEL to provide economically viable production lithography capabilities for post-optical generations depends largely on achieving adequate wafer throughput. We have analyzed throughput-limiting performance attributes of the SCALPEL approach in order to identify critical design issues and develop a process for evaluating its unique parameter space. An important feature of the SCALPEL approach is that small image sub-fields are assembled to form complete device patterns. Further, electron-electron interactions result in a throughput- dependent image blur, which is a governing parameter for many inter-related performance areas of SCALPEL. Error budgets for key issues affecting critical dimension (CD) have been developed to analyze this unique design space, using models of the image-forming process including stitching on sub-field seams. These budgets assist in identifying the most critical design issues and demonstrating their inter-relationships and tradeoffs.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We describe some results of exposure experiments using the present prototype SR stepper which Canon has developed and the novel technology development which is necessary to establish the next generation SR stepper for volume production. Concerning the technology development, we have established (1) two mirror condensing system for full field exposure, (2) ADGL alignment method, (3) magnification correction method, (4) high speed stage stepping method. By adopting these technologies, we have been developing a beta- site machine for volume production and its features and preliminary specifications are described.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A novel method of filtering out atoms and small particulates, emitted from a laser plasma EUV radiation source, has been developed and experimentally characterized. The method consists of elimination of debris species by an optically transparent assembly of foils positioned in a buffer gas environment near the source. A high trapping efficiency is achieved due to retardation and scattering of particles in the gas and subsequent deposition on the foils. The method imposes no limitations of the radiation acceptance angle. The foil trap technique, a debris suppression method universally applicable for different EUVL radiation sources, has been investigated in combination with a fast rotating laser plasma target. A target unit with a disk edge velocity of up to 500 m/s enabling nearly full elimination of large particulates, served as a source of different debris components for experiments on foil trapping atoms and sub-micron particulates. An integrated suppression coefficient of 500 has been measured for debris with sizes of up to a micrometer using a pilot trap cooled down to -90 degrees C. Extrapolation of this data to conditions when debris of sub-micron size only is produced, resulted in a suppression coefficient of 2000.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.