Paper
18 December 1998 Masks for extreme ultraviolet lithography
Stephen P. Vernon, Patrick A. Kearney, William M. Tong, Shon T. Prisbrey, Cindy C. Larson, Craig E. Moore, Frank J. Weber, Gregory Frank Cardinale, Pei-yang Yan, Scott Daniel Hector
Author Affiliations +
Abstract
In extreme ultraviolet lithography (EUVL), the technology specific requirements on the mask are a direct consequence of the utilization of radiation in the spectral region between 10 and 15 nm. At these wavelengths, all condensed materials are highly absorbing and efficient radiation transport mandates the use of all-reflective optical systems. Reflectivity is achieved with resonant, wavelength-matched multilayer (ML) coatings on all of the optical surfaces -- including the mask. The EUV mask has a unique architecture -- it consists of a substrate with a highly reflective ML coating (the mask blank) that is subsequently over-coated with a patterned absorber layer (the mask). Particulate contamination on the EUVL mask surface, errors in absorber definition and defects in the ML coating all have the potential to print in the lithographic process. While highly developed technologies exist for repair of the absorber layer, no viable strategy for the repair of ML coating defects has been identified. In this paper the state- of-the-art in ML deposition technology, optical inspection of EUVL mask blank defects and candidate absorber patterning approaches are reviewed.
© (1998) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Stephen P. Vernon, Patrick A. Kearney, William M. Tong, Shon T. Prisbrey, Cindy C. Larson, Craig E. Moore, Frank J. Weber, Gregory Frank Cardinale, Pei-yang Yan, and Scott Daniel Hector "Masks for extreme ultraviolet lithography", Proc. SPIE 3546, 18th Annual BACUS Symposium on Photomask Technology and Management, (18 December 1998); https://doi.org/10.1117/12.332826
Lens.org Logo
CITATIONS
Cited by 7 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet lithography

Multilayers

Semiconducting wafers

Reflectivity

Silicon

Extreme ultraviolet

RELATED CONTENT

Cr absorber mask for extreme-ultraviolet lithography
Proceedings of SPIE (January 22 2001)
EUVL mask repair: expanding options with nanomachining
Proceedings of SPIE (November 08 2012)
Study of EUV mask e-beam inspection conditions for HVM
Proceedings of SPIE (January 24 2012)
Inspection and repair of EUV
Proceedings of SPIE (March 11 2002)
Mask technology for EUV lithography
Proceedings of SPIE (April 23 1999)

Back to Top