PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
High-K dielectric thin films have been investigated as alternative gate dielectrics. Our results suggest that single-layer sputtered ZrO2 or HfO2 thin films deposited directly on Si substrate, without the use of a barrier layer, exhibit excellent electrical and reliability characteristics. Equivalent oxide thickness as thin as 9 angstrom with leakage current of about 10-2 A/cm2 was achieved. This is the lowest EOT value ever reported for ZrO2 and HfO2 thin films. Low charge- trapping, high breakdown field, and negligible stress- induced leakage currents have also been obtained.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
By ultraclean low-pressure CVD using SiH4 and GeH4 gases, high quality Si1-xGex epitaxial growth on Si(100) is achieved. In order to prevent island growth and generation of misfit dislocations in the heterostructure, relatively low deposition temperatures and optimization of the layer thickness are inevitable for the high Ge fractions. Atomically flat surfaces and interfaces for the Si/Si1-xGex/Si heterostructures containing Si0.8Ge0.2, Si0.5Ge0.5 and Si0.3Ge0.7 layers are obtained by deposition at 550, 500 and 450 degrees C, respectively. It is also found that the Si0.5Ge0.5-channel pMOSFET has the highest peak field- effect mobility. The deposition rate, the Ge fraction and the in-situ doping characteristics with the B2H6 and PH3 addition are expressed based on the modified Langmuir-type adsorption and reaction scheme, assuming that the reactant gas adsorption/reaction depends on the surface materials. Ultrasmall MOSFETs have been also realized by selective epitaxy of impurity-doped Si1-xGex on the source/drain regions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper will provide an overview of the emerging trends in metal gate solutions for advanced CMOS technology. Performance enhancement in silicon-based CMOS technology through MOSFET scaling has shown some limitations with the current polysilicon gate electrode. Replacing polysilicon gate electrode by metal appears to be promising. However, the choice of the metal gate material depends on its work functions, thermal/chemical stability with surrounding materials, process integration, deposition process, resistivity, and eventually performance, reliability and future scaling. This paper will discuss some of the result published in the literature that address some of these issues and propose future directions. Single mid-gap metal gate approach appears to be simpler from an integration point of view but achieving low MOSFET threshold voltage is a concern. Some channel engineering approaches have been reported to address this issue. Dual metal gate approach with work function similar to n+ and p+ doped poly-Si appears ideal, although processing complexity could be a hindrance. Also the need for inlaid gate integration could be enhanced because of thermal/chemical stability effects of metal gate electrode with underlying gate dielectric, the inability to etch new materials or to reduce device instability due to film stress as in the conventional approach. The performance improvement of CMOS devices has been estimated with metal gates along with reliability issues.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The objective of this work is to evaluate a well-known low resistance refractory metal silicide such as tungsten silicide (WSix) for use as a gate electrode in order to achieve faster switching speed. However, the deposition of a WSix film in high aspect ratio trenches is difficult in terms of step coverage as well as adhesion when using a low pressure chemical vapor deposition technique. The deposition canditions need to be carefully tweaked to achieve satisfactory step coverage and film thickness. This paper focuses on the deposition conditions of WSix films onto boron doped poly-Si gate material to achieve higher step coverage in the trenches.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes a new way to suppress the floating body effect (FBE) in SOI MOSFETs, which is applicable to the CMOS structure. The FBE can be suppressed by controlling the potential profile in the lower body region of SOI MOSFETs. The threshold voltage (VT) of SOI NMOSFETs little depends on drain voltage (VD) when impact ionization is not significant. VT is determined by the total body charge. On the contrary, when impact ionization significantly occurs, VT largely depends on VD. The accumulation of holes in a floating body raises the body bias, and thus the increase in the body bias determines VT. From the examination of the influence of a substrate voltage (VSUB), it is clarified that there is a maximum value of VSUB (VSUB,MAX) below which the VT measured at VD higher than 1 V does not depend on VSUB. It is also clarified that the dependence of VT on VD can be drastically improved by supplying a VSUB that is higher than VSUB,MAX. This means that supplying an adequate VSUB is effective in suppressing the FBE. Holes accumulated in the NMOS body region are significantly decreased by the VSUB supply, which is supported by 2D device simulation, and hence the FBE in SOI NMOSFETs is suppressed. Supplying positive VSUB little affects the PMOSFETs characteristics, except for an increase in VT. Therefore, this method is useful for the SOI CMOSFET structure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A sub 0.1 microns channel length vertical MOS transistor was developed for processing with equipment typically utilized for older generation devices. One of the important advantages of vertical MOS transistor technology is that the channel length scaling is not limited by the minimum lithographic resolution. The vertical Ldd processing was also developed to improve the short channel effects. The transistor with channel length below 0.1 micrometers has normal characteristics at room temperature, a > 6V Bvdss, and a transconductance with value as high as in the conventional planar transistor of the same channel length.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Nanometer Schottky-Tunneling MOSFETs which use Schottky contacts at both the source and the drain were fabricated by a novel epitaxial CoSi2 silicide nanopatterning method. The nanopatterning process which is based on local oxidation of silicide layers, involves two key steps. First, a conventional oxidation mask of 20 nm SiO2 and 300 nm Si3N4 was deposited by plasma enhanced chemical vapor deposition on CoSi2/Si(100) and patterned by optical lithography and dry etching. Second, rapid thermal oxidation (RTO) was performed in dry oxygen. During RTO, the originally continuous silicide layer is separated near the edge of the nitride mask. Oxide formed on top of the gap and on the surface of the uncovered CoSi2. Highly uniform gaps as narrow as 50nm between the masked and the unprotected regions of the silicide layer have been fabricated for 20nm thick CoSi2 layers. N-channel Schottky-Tunneling MOSFETs with epitaxial CoSi2 Schottky contacts at source and drain were fabricated using this nanopatterning method to make the 100nm gate. The devices show good I-V characteristics at 300K.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A 0.6 micrometers RF BiCMOS technology was developed by the modular integration of a 25 GHz fT, 35 GHz fMAX NPN transistor and high-quality passive components into an existing 0.6 micrometers analog CMOS process. The resultant process technology supports low-cost, mixed-signal RF applications up to 2.5 GHz.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new high performance silicon complementary bipolar technology is introduced. In addition a novel process 'enhancement' technique based on a local oxidation is described and demonstrated and NPN devices with cut-off frequencies up to 45GHz and PNP devices of 20GHz have been fabricate. We propose that the technique we have used will allow specific transistors within a circuit to be optimized, as required.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A high performance of 0.18 micrometers CMOS logic device has been developed with 0.15micrometers transistor and six level interconnects. Multi-level interconnect system consists of conventional process with Al wire and dual damascene process with Cu wire. It is well known that a reduction of interconnect delay time is important as the design rule is scaled. Recently low resistance Cu interconnects and low-k dielectric materials are expected to solve this problem We investigated the interconnect delay time of Cu and Al for the fine metal pitch and the coarse metal pitch, to optimize the interconnect system for 0.18 micrometers design rule generation, 4-level Al interconnects with fine metal pitch are suitable for short distance wiring such as intra-block cell to cell interconnects, whereas 2-level Cu interconnects with coarse metal pitch are used for long distance wiring such as mega-block to block interconnects to achieve high- speed and high-density system LSI devices.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Experiments comparing High-Density Plasma (HDP) CVD oxide for gap-fill with a PECVD oxide/plasma etch process show effects on hot carrier reliability, transistor matching, and transistor 1/f noise. We present results from wafers processed in a 0.35 micrometers CMOS technology with three levels of metal. The results indicate that the HDP process used for gap-fill significantly improves matching and noise characteristics of metal covered devices. Both n and p channel current mirrors show improved matching between metal an no metal coverage with the HDP process. The presence of a HDP oxide film in IMD stack can reduce the mean threshold voltage difference between metal and no metal covered n- MOSFETs from 45 mV to about 4 mV. Likewise, the total integrated noise over the frequency range of 10 Hz-100 kHz of metal covered n-MOSFET is improved by a factor of 1.25 by the HDP gap-fill process. However, the HDP process has resulted in significant degradation of the d.c. hot carrier reliability of n-MOSFETs. These effects may be explained by the large amounts of hydrogen incorporated in the back-end dielectric with the HDP process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The characterization of nmos transistor mismatch for three different standard CMOS technologies is presented. Different methods for matching parameter extraction have been compared. By studying the correlation of these mismatch parameters, an optimized set has been generated. Using these parameters, mismatch of drain current can be predicted and modeled. The model accuracy has been studied. Finally, by comparing the mismatch model found for crucial parameters and for each technology, the impact of gate oxide thickness on mismatch characteristics has been observed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Control of boron penetration in surface-channel PMOS devices is critical in order to ensure tight threshold voltage (Vt) distribution. Previous work has focused on studying relatively gross boron-penetration effects, which give rise to large shifts in Vt. In practice, low-voltage CMOS technologies are sensitive to small degradation in PMOS Vt scatter due to the onset of boron penetration. Moreover, the use of rapid thermal annealing can give rise to difficult trade-offs between poly depletion and boron penetration. As both of these effects can influence the PMOS Vt we propose a sensitive, systematic, methodology to distinguish between depletion and penetration effects and illustrate its application in a number of advanced CMOS processes, with oxide thickness ranging from 30-50 angstrom.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The benefits of super steep retrograde channel profiles on MOS transistor performance as reported in the literature have been inconsistent. This inconsistency is in part due to the sensitivity of the performance benefit to the process parameters and integration of the retrograde channel profile. As exhaustive study that integrated and optimized a p-ch arsenic retrograde channel profile transistor into a high performance sub 0.18micrometers transistor CMOS microprocessor process was performed. It was found that the dose and energy of the retrograde channel implant significantly affected the performance improvement obtained. A higher SSRC implant dose, or lower implant energy resulted in higher drive current for a given off current relative to a conventional channel profile control transistor. In addition, the improvement in the transistor linear current was even more significant. At IDOFF equals 1nA, the IDS and IDLIN improvement was approximately 10 percent and 16 percent, respectively. Improvement in transistor drive current also increased at higher drive current. The saturated threshold voltage and Drain Induced Barrier Lowering roll-off with effective channel length were superior for the retrograde channel profile versus the conventional channel profile transistor. Gate oxide reliability with the arsenic doping was also evaluated using Voltage Ramped Dielectric Breakdown (VRDB). It was found that the p-ch gate oxide capacitor VRDB failure rate with the arsenic retrograde channel doping profile was as good or better compared with the conventional phosphorous doped channel profile.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Body bias engineering was investigated in the viewpoints of both device and circuit performance. For reverse body bias to suppress standby power, it was found that there might exist an optimal reverse body bias for minimizing the off- state leakage current. The optimal reverse bias value was found to decrease as the temperature goes down and varies form process to process, and technology generation. It is also found that the reduction in leakage current with reverse body bias is enhanced as the device temperature goes up and diminished as the temperature goes down. For forward body bias to improve the performance, it is found that a forward body bias can suppress short channel effects and improves Vt roll-off. Simulations on performance of typical CMOS logic gates shoed that forward body bias can reduce the gate delay and the improvement is enhanced as the power supply deceases. Power and power delay product will not be improved if forward body bias is applied on the entire circuit. A good strategy is to apply a forward body bias on critical path only to improve speed with minimum power trade-off.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Advanced Ti-Salicide schemes using Si implantation either before or after Ti deposition adversely affected transistor performance through lowering of the device drivability. Device impact was sen in increase of the pMOS series resistance with increasing per-amorphization implant Si implant energy. Likewise, the amount of amorphization and silicidation due to different as-deposited Ti thickness for the implant through metal scheme affected most adversely for the pMOS. This degradation is attributed to the implantation and silicidation induced generation of vacancies and interstitials, resulting in the de-activation and subsequent re-distribution of dopants around the transistor LDD and source/drain regions. Results were shown to conform with TRIM simulation of Si implantation profiles.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have investigated the influence of ambient and laser energy density on the characteristics of excimer laser crystallized poly-Si films and TFT performance. It was found that poly-Si films crystallized in air showed higher peak position of Raman spectra and larger grain size than those crystallized in vacuum. Excimer laser annealing (ELA) in vacuum made the surface roughness of poly-Si films smaller than that in air. These results show that oxygen plays an important role in grain growth. The investigation of TFT performance with gate length of 0.6 micrometers that is comparable to the grain size of ELA poly-Si films showed that intragrain defects as well as grain size influence the TFT performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents a simple methodology to estimate the impact of inversion layer quantization and polysilicon-gate depletion effects on ultra-thin silicon-dioxide gate dielectric. We have used process and device simulation to determine the physical oxide thickness from the measured capacitance data, and the corresponding effective gate oxide thickness at inversion was computed from the simulation data obtained with an without the quantum mechanical and polysilicon depletion effects. The simulation result indicate that the effective gate oxide thickness is significantly higher than the physically grown oxide thickness due to inversion layer quantization and polysilicon depletion effects. The increase in oxide thickness is strongly dependent on the supply voltage and is more than 0.6 nm at 1 V. We have also measured the gate- leakage current for the same devices with gate oxide thickness less than 3 nm. Our data also show that in order to maintain a leakage current >= 1 A/cm2 for 1 V operation, the effective gate oxide thickness must be >= 2.2 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, we discuss the issues involved in the DC hot carrier lifetime extrapolation of sub 100nm NMOS transistors. We look at device degradation due to hot- carrier injection in NMOS transistors with 20 angstrom and 25 angstrom thermal and nitrided oxide gate dielectrics. Stress conditions such as Vg < Vt, Vg > Vt, Vd equals Vd, and Vg at Isubmax are evaluated. Previously, devices greater than 100nm gate length had highest hot carrier degradation at Vg at Isubmax and 1/Vdd linear extrapolation from accelerated stressing condition to operation condition was able to estate the DC lifetime. However, we show that the conventional extrapolation results in a nonlinear fit for devices with gate length
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, we report effects of indium implant and post- RTA on performance and reliability of Sub-100nm retrograde channel NMOSFETs. NMOSFETs with gate lengths down to 50nm were fabricated using resist trimming technique. Indium or boron implant was used for channel doping. Good device performance was obtained with indium implant and a post-RTA. Systematic investigation on effects of indium implant and post-RTA is performed. Indium channel implant was found to improve Vt roll-off, but worsen body effect. High leakage current of age oxide at STI edges with indium implant was observed and a post-RTA was found to be effective to improve this extrinsic gate oxide reliability. Effective mobility improvement with indium channel doping and further improvement with a post-RTA were also observed. An indium- induced oxide thinning was revealed and a post-RTA was found to enhance the thinning effect. Hot carrier stress tests show that indium doped retrograde channel improves hot carrier degradation and a post-RTA furthers this improvement.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper presents a systematic methodology to optimize the source-drain region of sub-100 nm MOSFET devices to design a high performance CMOS technology. The effect of most critical source-drain parameters such as the lateral and the vertical dimensions of shallow extensions, the junction depth of deep regions, and the strength and confinement of halo profiles on device performance are presented. The simulation results show that the shallower and the longer source=-drain extensions cause a significant degradation in drive current due to an increase in the source-drain series resistance while the deeper and the shorter extensions worsen the short-channel effect due to higher channel charge sharing with the source-drain regions. Similarly, the shallower deep source-drain regions cause performance degradation due to higher source-drain series resistance and deeper junctions cause higher channel charge sharing resulting in a higher short-channel effect. It is shown that the junction depth of shallow source-drain regions must be approximately 30-40 nm to design high performance sub-100 nm MOSFETs, and the short channel effect can be improved by a proper optimization of halo doping profiles around the source-drain extensions. The simulation results also show that the concentration and distribution of halo doping profiles must be optimized to obtain the target off-state leakage current for sub-100 nm CMOS technologies.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have successfully developed a new quantitative analytical ITAT-based SILC model which can explain both of the two field dependencies, i.e. Fowler-Nordheim (FN)-field and the direct tunneling (DT)-field dependent of A-mode and B-mode SILCs. While DT-field dependence of A-mode comes from the single trap assisted tunneling, FN-field dependence of B- mode originates at the tunneling via the multi-trap leakage path. We have also developed an analytical model for the anomalous SILC of the flash memory cell and investigate the properties of retention lifetime of failure bits. The anomalous SILC shows the DT-field dependence because of the tunneling via the incomplete multi-trap path. A remarkable behavior of retention characteristics predicted by our models is a nearly logarithmic time dependence. The Fowler- Nordheim tunneling model leads to an overestimation of lifetime at low Vth region. To take into account a position of each trap and clarify the detail characteristics of SILC, we have proposed a new Monte Carlo like approach for hopping conduction and successfully explained the anomalous SILC using only physical based parameters.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For sub 0.25 micron CMOS processes, Shallow Trench Isolation (STI) is required because of its planarity, high packing density and low junction edge capacitance. After trench etch in the STI process, the top corner of the trench must be rounded in order to achieve stable device performance, reduce inverse narrow width effects and maintain good gate oxide integrity. Several methods of round in the trench corners have been proposed. A post-CMP oxidation step to round the top corner trench has been shown to consume too much of the silicon active area and may not be suitable for sub-0.18micrometers technologies. Furthermore, the post-CMP oxidation can generate a lot of stress even at high temperatures. It has been shown that a 50 nm radius of curvature provides stable device data and a good gate oxide integrity with minimum consumption of the active area. In this paper, we have shown that this radius can be achieved with minimal stress generation using a properly optimized rapid thermal oxidation before oxide fill. Through both 2D oxidation modeling and experimental verification we have shown that an optimum oxidation temperature can be found when coupled with an undercut of the buffer oxide under the silicon nitride mask. Temperature is the primary parameter for rounding of the top corner during oxidation while undercut of the buffer oxide lowers the minimum temperature for a given rounding. A 50 nm radius of curvature can be achieved by the balance of the two parameters. This radius of curvature has been shown to suitable for 0.15 micron technology and beyond.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The correlation of MOSFET electrical characteristics to the levels of mechanical stress in STI structures used for the device manufacturing has been analyzed. The model of stress evolution during STI formation was developed based on the results of experimental measurements and computer simulations. Accordingly, STI processes creating different levels of stresses were designed and used to manufacture ULSI. Electrical parameters of a large variety of MOSFET devices were tested and weighted against the STI processes employed. This enabled the identification of the device leakage currents which resulted from high STI stress: the diode leakage dependent on isolation width, MOSFET standby currents dependent on active device width and gate bias, and the excessive leakage of field-edge-intensive devices. The first phenomenon was found to be associated with the incident of dislocations. The other kinds of leakage could reach critical levels even at moderate stress below the threshold for the onset of dislocation. According to the results of the device leakage characterization, critical stress states of STI structures can be readily monitored using conventional approaches of electrical testing. This provides an effective means for STI process and material integration and obtaining low stress dislocation-free structures.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper reports for the first time the growth of ultra- thin gate oxide by rapid thermal oxidation using in-situ generated steam (Wet RTO). Compared to conventional gate oxide grown by wet furnace and dry RTO, excellent oxide integrity of Wet RTO is demonstrated. Furthermore, the Wet RTO oxides nitrided by in-situ NO rapid thermal anneal also exhibits improved device transconductance, current drivability, and hot carrier reliability.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Quantum chemical calculations were employed to get insight into the mechanisms involved in plasma-induced nitridation of gate oxide that will suppress boron penetration. The roles played by the nitrogen cations and atoms were explored. It was shown that B interaction with siloxane rings that contain incorporated nitrogen yielded a larger energy gain than rings without nitrogen. This explains the chemical nature of the nitrogen-induced barrier effect. Monte Carlo simulations were used to simulate the necessary energy of incident N2 cations to produce the bond cleavage down to a particular depth in the amorphous SiO2 layer. A combination of the HPEM and PCMC codes were used to simulate nitrogen atomic and cation fluxes and their energy distributions at the wafer surface. Combining simulated cation fluxes and their energy distributions at the wafer surface. Combining simulated cation energies with PROMIS Monte Carlo simulation results make it possible to derive the plasma process parameters that will permit a desired level of nitridation to be reached.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The aim of this study is to develop a new electrical insulating technique available for power devices and specific application devices. The classical process for the fabrication of insulating walls, consists in the diffusion of doping boron atoms from the surface of the wafer. An alternative way to perform this insulating structure is to create deep doping sources located in the region of the future insulating wall, to diffuse these doping atoms until the overlapping of the different diffusion zones and thus to achieve a continuous junction wall. These doping sources are realized by filling small adjacent deep trenches with doped material. This technique was applied on insulating boxes which were made by this way inside an n-type epitaxial layer grown on a p-type substrate. Trenches of 4 micrometers wide have been etched to a depth of approximately 50 micrometers by a high density low pressure helicon plasma reactor at an average rate of 5 micrometers /min. Process is based on an SF6/O2 mix as feed gas and a cryogenic chuck. Then these trenches are filled with in-situ boron doped polycrystalline silicon deposited by low pressure chemical vapor deposition technique using a gaseous mix of silane and diborane. The structures are then annealed in order to create a continuous wall between the adjacent filled trenches. These insulated boxes were electrically tested. The first results showed that it is possible to get a breakdown voltage higher than 200 Volts. This technique is thus promising.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
It has been established that hydrogenation in atomic hydrogen of ion-doped n+-n structures of semi- insulating GaAs suppresses the backgating effect. Modes of hydrogenation of structures in atomic hydrogen have been revealed which result in an increase in the rate of relaxation of photoconductivity of an n-n+-ni structures and in a moderation of the bias voltage effect on the photoconductivity. Schottky-barrier transistors and integrated circuits based on hydrogenated structure show improved electrical characteristics. The effects observed seem to be conditioned by the processes of formation and decay of complexes of hydrogen with electrically active defects in GaAs.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The work outlines a solution to two of the most common challenges from IC manufacturing: CD control at poly gate level and reflective notching on metal. These are uniquely solved using a single Bottom Anti-Reflective Coating (BARC) material, ARC-WiDE from Brewer Science. We have shown the use of wet patterning BARC can be implemented both on metal and poly level with printed feature sizes of 0.35 micrometers for ASIC processes with severe topography. Post poly etch results are presented across the BARC bake window, defined as lifting of resist on one side and 'scumming' on the other side for dense i-line lines. The analysis of cross sectioned wafers show a wide process window where the final poly CDs are independent of the degree of BARC undercut beneath 0.35 micrometers resist lines. Furthermore the results of matching of a g-line process to an i-line process with the same BARC as for poly are included. This feature makes the wet patterning mode an attractive and cost effective alternative to dry patterned BARCs where the modification of the etch process is necessary, potentially affecting throughput.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An opportunity of forming heavily doped boron layers in silicon is analyzed in this work for variation of potential barrier height on the metal-semiconductor interfaces. Implantation of boron atoms in silicon samples was made by recoil method, inducing Al ion beams bombardment with current density 4-10 A/cm2 and 30-150 keV energy. An analysis of getting structures by SIMS and calculation of their electric parameters show the opportunity of conducting layers formation with a thickness of 10 nm and carrier concentration more than 1018 cm-3.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The abnormal photoelectric properties of Si-MIS structures, such as a significant photo-emf signal in the state of enhancement and a drop of the local photo-emf in inversion, were investigated with integrate and local photoelectric measurements. It has been established that the reason for the significant photo-emf signal in enhancement and the related features of the photoelectric properties of the structure is the photosensitivity in the region away from the electrode associated with a nonuniform distribution of electrically active defects. It has been demonstrated that the nonuniformity in surface potential may result in a drop of the local photo-emf in inversion. A conclusion has been made that the redistribution of nonequilibrium carriers along the boundary must be taken into account in constructing equivalent circuits of actual MIS structures.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We observed that the active line dimension bounded by shallow trench isolations (STI) affects the junction leakages significantly. The diodes with high STI peripheral to area ratio were found to be sensitive line dimension is shrinked to 1 order. Cross-sectional transmission electron microscopy on the silicided p+ active lines of different widths showed a bowing-up of the silicide film for narrower lines. The unique silicide film profile is caused by more Si consumption along the center of the narrow lines which in turn draws the silicide film closer to the junction. Junction delineation using Wright etch technique revealed a similar bowed junction profile near the STI edge implying an effectively shallower junction. We believe that the uneven Si consumption is aggravated by the overlapping stress from the trench sidewalls which forms a highly stressed region especially in the narrow active lines. Relieving some sidewall stress by allowing some STI trench oxide recess actually helps to modulate the Si consumption from the center to the edge of the active lines which result in more even silicidation and lower junction leakage.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The gate dielectric is arguably the biggest challenge facing the physical scaling of the MOSFET. The alternatives to continued scaling of SiO2 include radical departures from standard process flows and very challenging new materials issues. In the short term, the industry continues to pursue improvements to the reliability and tunneling performance of silicon-dioxide. New data on reliability suggests that SiO2 as thin as 1.6nm may achieve acceptable reliability in the field. Beyond SiO2, there is no material which has yet demonstrated comparable reliability or interface-state-density. The most promising approach involves using sandwiched high-k material, with thin SiO2 at both interfaces. Either Ta2O5 or TiO2 may be suitable in structures of this type.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The latest National Technology Roadmap for Semiconductors (NTRS) shows the most aggressive trend in IC performance advancements to date. However, the NTRS projects a course that is two to three years ahead of current industry capabilities. This discrepancy is due to the unprecedented number of new materials being introduced - transmissions that typically require five to ten years of R and D and significant modifications to IC manufacturing environments. CLearly, the challenges presented by these accelerated material changes could greatly impact product quality and reliability. This paper addresses integration complexity and capacitance issues, plus the new algorithms, design techniques, and system and chip architectures needed to meet the technology milestones dictated by the NTRS. A fundamental understanding of the new materials and processes, combined with high-productivity tools, such as the Producer mainframe, will be required to enable optimized front and back end processing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Partially-depleted deep sub-micron CMOS on SOI technology is becoming a mainstream technology. This technology offers 20- 35 percent performance gain over a bulk technology implemented with the same tool set. In this paper, first a review of the SOI technology is given. Next, the partially- depleted SOI device and the reasons why it was chosen over fully depleted SOI device are reviewed. The sources of performance gain on SOI, and SOI-unique circuit issues that a designer must consider and account are discussed next. Finally, a low-power application of SOI is reviewed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.