Polycycloolefins prepared by addition polymerization of norbornene derivatives are quite different from hydroxystyrene-based polymers in terms of their interaction with aqueous base. Their dissolution kinetics monitored on a quartz crystal microbalance is not a smooth function of the ratio of the polar to nonpolar functionalities in polymer but abruptly changes from very fast dissolution to massive swelling within a narrow range of composition. The maximum swelling is a function of thickness and the entire film thickness can swell in a few seconds at > 3,000 angstroms/sec or at immeasurably fast rates. The initial concentration of a pendant carboxylic acid in polymer has to be selected to minimize swelling and the concentration of an acid-labile group to induce fast dissolution in the exposed area. Furthermore, swelling which occurs in the partially- exposed regions must be minimized by incorporating a third monomer unit or by adding a dissolution modifying agent (DMA) such as t-butyl cholate. However, the function of DMA which is also acid-labile is quite complex; depending on the matrix polymer composition and its dissolution/swelling behavior, DMA could function as a swelling suppressor or promoter and a carboxylic acid generated by acidolysis of DMA as a dissolution or swelling promoter. Photochemically generated sulfonic acid could also affect the dissolution/swelling behavior. Base hydrolysis of anhydride during development is controlled by the polarity (carboxylic acid concentration) in polymer film, which has been demonstrated in an unequivocal fashion by IR spectroscopy under the condition strongly mimicking the development process and thus could boost development contrast but could hurt performance as well. Thus, incorporation of carboxylic acid in the form of methacrylic acid, for example, in radical copolymerization of norbornene with maleic anhydride must be handled carefully as it would increase the susceptibility of the anhydride hydrolysis and could introduce heterogeneity in the polymer as methacrylic acid is rapidly consumed, producing a terpolymer containing a different molar concentration of norbornene and maleic anhydride (a proof against the commonly believed charge transfer polymerization mechanism).
Proc. SPIE 3999, ArF photoresist containing novel acid labile cross-linker for high contrast and PED stability, 0000 (23 June 2000); doi: 10.1117/12.388303
In our previous model, we have introduced a lot of t- butylcarboxylate group in matrix resin to achieve a high contrast and obtain a good lithographic performance. Most ArF photoresists having only t-butylcarboxylate group as a dissolution inhibitor have showed by far the inferior performance in a poor amine controlled environment. To overcome this problem, we greatly reduced the usage of t-butyl carboxylate group and increased the amount of HMEBC that contains both carboxylic acid group and alcohol group. And also, we newly introduced acid labile cross-linker for high contrast. Our novel resist exhibited an excellent lithographic performance without any protective top coating material, namely, a good PED (post exposure delay) stability, an improved CD (Critical Dimension) linearity, a proper sensitivity for process, and a good contrast. In addition, its synthetic yield is very high (>50%) and then it is cost- effective for mass production. 120 nm patterns were successfully defined at 13 mJ/cm2 by using a BIM (Binary Intensity Mask) with 2/3 annular (0.50/0.75(sigma) ).
Proc. SPIE 3999, Structurally variable cyclopolymers with excellent etch resistance and their application to 193-nm lithography, 0000 (23 June 2000); doi: 10.1117/12.388314
We report on the development and exploitation of a new type of chemically amplified resist for 193 nm microlithography. The approach has great versatility as it involves a general structure amenable to radical cyclopolymerization that contains easily interchanged ester functionalities. As the mechanism of polymerization involves free radicals, changes may be made either in the polymerization conditions or in the monomer feed to adjust variables such molecular weight or etch resistance. The latter property is favorably influenced by the formation of new ring structures during polymerization. Variations in the nature of the ester moieties contained in the monomer area easily accomplished to modify the imaging characteristics, surface properties, or etch resistance of the polymers. We report the preparation of a number of novel polymer and copolymer structures and their preliminary testing as resist candidates for 193 nm lithography.
Proc. SPIE 3999, 193-nm photoresists at 130-nm node: which lithographic performances for which chemical platform?, 0000 (23 June 2000); doi: 10.1117/12.388323
193 nm photoresists on the market today can be classified into three different chemical platforms. The first platform involves acrylate type polymers, the second one cycloolefin- maleic anhydride (COMA) type polymers, and the third one a mixture of both. In this paper, we present a complete review of the lithographic performances at the 130 nm node, for 10 different commercially photoresists, coming from the three different chemical platforms. The results include various criteria: linear resolution, depth of focus, dose latitude, proximity bias and edge roughness for 130 nm lines (various pitches from isolated to 1:1 dense), depth of focus for 100 nm isolated lines, depth of focus and dose latitude for 140 nm contact holes, PEB temperature sensitivity (CD variation vs PEB temperature), thermal stability (post development bake stability), exposure -- PEB delay stability. Also, pattern collapse tendency and etch selectivity to both polysilicon and SiO2 are presented. We then correlate some of these results to the thermal properties (glass transition and decomposition temperatures) of the materials. We finally conclude about the pros and cons of each chemical platform for achieving the 130 nm node requirements.
A new class of photoresist matrix polymers based on vinyl ether-maleic anhydride (VEMA) alternating copolymers were developed for ArF single-layer lithography. These polymers were synthesized by copolymerization of alkyl vinyl ether- maleic anhydride alternating copolymers and acrylate derivatives with bulky alicyclic acid-labile protecting groups. They showed a good controllability of polymerization and high transmittance. Also, these resists showed a good adhesion to the substrate, high dry-etching resistance against CF4 mixture gas (1.02 times the etching rate of DUV resist) and high selectivity to silicon oxide etching. Using an ArF excimer laser exposure system with 0.6 NA, 120 nm L/S patterns have been resolved under conventional illumination.
A great deal of progress has been made in the design of single layer positive tone resists for 193 nm lithography. Commercial samples of such materials are now available from many vendors. The patterning of certain levels of devices profits from the use of negative tone resists. There have been several reports of work directed toward the design of negative tones resists for 193 nm exposure but, none have performed as well as the positive tone systems. Polymers with alicyclic structures in the backbone have emerged as excellent platforms from which to design positive tone resists for 193 nm exposure. We now report the adaptation of this class of polymers to the design of high performance negative tone 193 nm resists. New systems have been prepared that are based on a polarity switch mechanism for modulation of the dissolution rate. The systems are based on a polar, alicyclic polymer backbone that includes a monomer bearing a glycol pendant group that undergoes the acid catalyzed pinacol rearrangement upon exposure and bake to produce the corresponding less polar ketone. This monomer was copolymerized with maleic anhydride and a norbornene bearing a bis-trifluoromethylcarbinol. The rearrangement of the copolymer was monitored by FT-IR as a function of temperature. The synthesis of the norbornene monomers will be presented together with characterization of copolymers of these monomers with maleic anhydride. The lithographic performance of the new resist system will also be presented.
Proc. SPIE 3999, Minimization of the iso-dense bias in chemically amplified 193-nm positive resists: influence and monitoring of the diffusion well, 0000 (23 June 2000); doi: 10.1117/12.388352
The constant reduction in critical dimensions required for new device generations and the use of sub-wavelength lithography impact the quality of the aerial image transferred into the resist layer. As an example, the intrinsic bias between isolated and dense features in the aerial image is becoming more and more significant, requiring better performance from the resist process to cancel this effect. This work investigates the process mechanisms leading to Iso-Dense bias (I-D bias) reduction for two 193 nm methacrylate based resists at constant optical settings, as a function of PEB temperature. In both cases, it has been possible to find optimized process conditions leading to reduced I-D bias values, but it appears that the leading mechanisms involved during PEB are different and do not seem equivalent in terms of resist capabilities. Reaction controlled resists, which work with a Diffusion Well effect during PEB, that is a high diffusion contrast between exposed and unexposed areas, allow I-D bias compensation without degrading resolution performance. On the contrary, diffusion controlled resists, which usually require high Post Exposure Bake (PEB) temperature to thermally boost the deprotection reaction, do not keep a high diffusion contrast between exposed and unexposed areas during PEB. Consequently, for these resists, best process conditions for I-D bias reduction do not correspond to the optimized process conditions for other resist performance, such as resolution and DOF. In this paper, the two different mechanisms which drives the acid catalyzed deprotection during the Post Exposure Bake step have been studied using different characterization techniques (modulated Temperature DSC, Dielectric analysis, in-situ Ellipsometry) and process performance has been correlated with 193 nm resist component properties (Polymer matrix, protecting groups or PAG characteristics).
With the objective to make smaller device structures at a given illumination wavelength the semiconductor industry is more and more trying to implement Phase-Shifting Masks (PSMs) as resolution enhancement technique for DUV lithography. However, with positive photoresists there is a phase edge problem. Using negative resists is the easiest approach to solve the phase edge problem. This is one of the reasons why negative resists are becoming more and more attractive for leading edge lithography. Therefore, we are developing a novel negative resist with 248/193 nm crossover capability. Most experiments were done in imitation of the CARLR bilayer resist process. The goal was to use established resist techniques and polymer materials, and just to change the generators and additives to get tone reversal. Using a photoacid generator (PAG) as additive leads to positive tone. In contrary with a photobase generator (PBG) and thermoacid generator (TAG) combination in a negative tone behavior is observed. Comprehensively, this blending concept allows the use of similar working polymers in both, positive and negative resists. The generator efficiencies were studied as well as the diffusion behavior of resist components during resist processing. Especially, process factors like baking conditions were investigated with the objective to control diffusion and limit resist outgassing in a high activation energy resist platform. Furthermore, in adaptation of the CARLR process, a separate liquid silylation step was integrated and investigated for various process conditions. In our paper we will discuss the characteristics and the lithographic capabilities of the novel methacrylate based negative resists. First promising results are based on DUV (248/193 nm) and ebeam exposures. Recent results with our positive version indicate the same outstanding possibilities. We expect a similar performance for the negative pendant in the near future.
Proc. SPIE 3999, Solid-state NMR characterization of resist formulations for 193-nm lithography: chain dynamics and length scale of mixing, 0000 (23 June 2000); doi: 10.1117/12.388370
Solid-state carbon NMR with cross polarization and magic-angle spinning has been used to study the chain dynamics and length scale of mixing in resist formulations of norbornene-maleic anhydride copolymers for 193 nm lithography. Two-dimensional wide line separation NMR has been used to measure the chain dynamics via the indirectly detected proton line shapes. The results show that the polymers do not experience large amplitude atomic fluctuations at the high temperatures (155 degrees Celsius) currently used for resist processing. Additional NMR experiments using proton spin diffusion demonstrate that the polymers and dissolution inhibitors are mixed on a molecular length scale.
Proc. SPIE 3999, 193-nm chemically amplified positive resists based on poly(norbornene-alt-maleic anhydride) with plasticizing additives, 0000 (23 June 2000); doi: 10.1117/12.388255
The lithographic performance and environmental stability of 193 nm chemically amplified resists based on norbornene t- butyl ester/maleic anhydride copolymer were investigated. Tert-butyl (t-Bu) cholate was used as a plasticizing additive to control the glass transition temperatures (Tg) of the resists. The resist softening temperature was decreased from > 180 degrees Celsius to 150 degrees Celsius by the addition of t-Bu cholate. The resist sensitivity and resolution were almost unchanged, when post-exposure bake (PEB) temperatures were below the resist softening temperature. High environmental stability of 1 hour was achieved by optimizing the resist softening temperature, because high temperature baking at around the resist softening temperature reduced the amount of residual solvent, and thus made the resist absorb basic airborne contaminants less readily. The environmental stability of the optimized resist was almost the same as that of 248 nm acetal type resist, and it was sufficient for practical use.
Proc. SPIE 3999, Model study by FT-IR and 13C NMR of the interaction of poly(norbornene-alt-maleic anhydride) and its derivatives with select cholate dissolution inhibitors or with select iodonium and sulfonium phot, 0000 (23 June 2000); doi: 10.1117/12.388265
The fundamental nature of the interactions between poly (norbornene-alt-maleic anhydride) based resins and cholate based dissolution inhibitors (DIs) were studied by FT-IR and NMR spectroscopy. We also studied the role that photo-acid generators (PAGs) play in the dissolution of these polymer resins. We attempted to disentangle the hydrophobic effects of the DIs and PAGs from the specific interactions (Van der Waal's, hydrogen bonding or ionic) that these materials have within the polymer system. We employed solubility studies of DIs and PAGs using cloud point determinations and dissolution inhibition experiments on spun films to determine the extent that hydrophobicity and polymer interactions play in development. We found that for simple cholate derivatives the interactions with maleic anhydride repeating units parallel the dissolution inhibition sequence (t-butyllithocholate > t-butyldeoxycholate > t-butylcholate). For a wider range of cholate derivatives, the cloud point in water is a useful predictive tool, in that it takes into account both the hydrophobicity and the propensity to interact with other moieties. Thus for these materials, dissolution inhibition is governed by both interactions between the DI and the polymer, and the hydrophobic nature of the DIs. In contrast, for the PAGs, the cloud point is not a good predictive tool for dissolution inhibition. We found for onium salt materials, that the extent of dissolution inhibition is predicated by the size of the anion. For example, large sterically hindered anions which give rise to PAGs that exhibit poor solubility in water, paradoxically also show poor dissolution inhibition. This may be because the steric hinderance disfavors interactions with the polymer matrix.
We have found that the progress of developer base into films of terpolymers of norbornene (NB)-maleic anhydride (MA) and acrylic acid (AA) is a percolation process with a critical site concentration of x(c) equals 0.084 which suggests that every acrylic acid site in the terpolymer of norbornene-maleic anhydride-acrylic acid can make 12 monomer units of the polymer water compatible. In practice these systems are being used with various tert-butyl esters of cholic acid as dissolution inhibitors. The cholates differ very much in their dissolution inhibition factors (lowest t-butyl cholate (1.3) to highest t-butyl lithocholate glutarate dimer (7.4). The change in these factors corrected for molarity follow the hydrophobic character of the dissolution as measured by log(p). A quick screening method has also been established to evaluate dissolution inhibitors based on our observation that the cloud point (the volume % acetone in a water/acetone which gives persistent cloudiness) parallels the dissolution inhibiting power as measured by the dissolution inhibition factor. For dissolution promotion, optimal results are obtained with t-butyl 1,3,5-cyclohexanetricarboxylate (f equals -6.3) and poorest results with t-butyl lithocholate (f equals -2.8); this appears to track with the number of carboxyl groups and the hydrophobicity of the carboxylic acids. The Rmax found for resist formulations tracks well with these findings. Another factor in determining the ultimate achievable contrast is the degree of acidolytic deprotection achieved by the material. It appears that acidolyticaly cleaveable carboxylate esters with a higher concentration of electron withdrawing groups such as t-butyl 1,3,5-cyclohexanetricarboxylate are more effective.
A special class of post-development defects, referred as Circular Surface Defects (CSDs), has been reported. Up to now, no resist is immune to CSD printing, including eight commercial KrF resists (from two vendors) and six early samples of ArF resists (from five vendors). An extensive study on the CSDs was conducted on a KrF Resist A, in term of its origin, formation and removal mechanism. Photoacid generators (PAGs) are proved to be a primary contributor to the CSDs. The origin of CSDs is believed to be PAG aggregation along with other hydrophobic components, resulting in formation of microemulsions in the developer. The aggregates have limited solubility in aqueous base developer, and could redeposit on the wafer surface during development. We propose one major defect removal mechanism, or 'Stripping' mechanism. This mechanism is related to resist film thickness loss, which aids in stripping potential defects from the resist surface, or reducing the probability of defect deposition.
Proc. SPIE 3999, Effect of resist components on image spreading during postexposure bake of chemically amplified resists, 0000 (23 June 2000); doi: 10.1117/12.388294
The ultimate feature size achievable using a chemically amplified resist is determined by chemical and physical processes occurring during the post-exposure bake process. Using a combined experimental-modelling procedure we previously have developed a physically accurate, predictive description of coupled deprotection and diffusion in poly(p- tert-butyloxycar-bonyloxystyrene) (PTBOCST) resist containing a diaryliodonium perfluorobutanesulfonate salt as photoacid generator (PAG). In the present work we extend that study to quantify the impact of anion size and of added base on resist reaction diffusion kinetics. Our results show that both short and long range mobility of the PAG anion influence image spreading; the small triflate counterion leads to acid diffusion larger by a factor of 9 - 70 than that observed with the larger perfluoro-butanesulfonate counterion. The addition of tetra-n-butylammonium hydroxide leads to an overall suppression of image spreading in the exposed resist. This effect can be analyzed quantitatively using a proportional neutralization model, which reveals that base addition can lead to an overall sharpening of the developable latent image of deprotection even in the absence of acid diffusion.
State-of-the-art microlithographic processes used to make features smaller than 0.25 microns are based upon deep-UV lithography and chemically amplified resists (CARs). In these resists, photoacid generated during exposure initiates cascading deprotection reactions during post exposure bake (PEB) to form a developable image. Reaction may not be limited to the illuminated areas since the photo-generated protons may diffuse outside this region; therefore, it is important to understand the diffusional characteristics of the photoacid. In this contribution, macroscopic free volume changes in the photoresist film were studied using multi-wavelength interferometry, and acid mobility and concentration during PEB were studied using crystal violet. This probe was added to the Shipley Apex-E 2408 DUV photoresist, and measurements were carried out in situ on quartz substrates. Crystal violet is a triphenylmethane 'propeller' molecule whose excited-state lifetime increases as the local free volume decreases, and molecular rotation is hindered. This feature can be used to characterize the free volume in the photoresist using ground- state recovery experiments. In addition, crystal violet has three protolytic forms, each with a unique absorption spectrum, and a calibration of the absorption spectrum as a function of acid concentration was used to measure the acid concentration during PEB. These studies illustrate the utility of spectroscopic techniques to characterize CARs in situ.
Post exposure bake (PEB) models in the STORM program have been extended to study pattern formation in 193 nm chemically amplified resists. Applications to resists formulated with cycloolefin-maleic anhydride copolymers, cholate based dissolution inhibitor, nonaflate photoacid generator and base quencher are presented. The PEB modeling is based on the chemical and physical mechanisms including the thermally induced deprotection reaction, acid loss due to base neutralization and protected-sites-enhanced acid diffusion. Simplifying assumptions are made to derive analytical expressions for PEB. The model parameters are extracted from the following experiments. UV-visible spectroscopy is used to extract the resist absorbance parameters. The generation of acid is monitored using the method of 'base additions.' The extent of deprotection that occurs during the bake is determined by monitoring the characteristic FTIR absorbance band around 1170 cm-1 over a range of exposure doses and bake temperatures. Diffusion parameters are extracted from line end shortening (LES) measurements. These parameters are optimized using the Method of Feasible Directions algorithm. Application results show good agreement with experimental data for different LES features.
Proc. SPIE 3999, Real-time analysis of volatiles formed during processing of a chemically amplified resist, 0000 (23 June 2000); doi: 10.1117/12.388301
The analysis of vapors desorbing from resist films during processing provides important information on impurity content, loss of resist components, and available decomposition pathways that complements data obtained by film composition studies. We have constructed an instrument for in-situ sampling and identification of volatiles from both bulk polymers and cast films by tandem mass spectrometry. We have used this instrument to identify volatile products from thermal and acid catalyzed deprotection of p-(t- butyloxycarbonyloxy)styrene (PTBOCST) to form p-hydroxystyrene (PHOST). The results show that the two reaction pathways have markedly different chemistry, and that the generally accepted deprotection mechanism oversimplifies what is actually happening in the film.
Proc. SPIE 3999, Comparison of methods for acid quantification: impact of resist components on acid-generating efficiency, 0000 (23 June 2000); doi: 10.1117/12.388302
Chemically amplified deep UV (CA-DUV) positive resists are the enabling materials for manufacture of devices at and below 0.18 micrometer design rules in the semiconductor industry. CA-DUV resists are typically based on a combination of an acid labile polymer and a photoacid generator (PAG). Upon UV exposure, a catalytic amount of a strong Bronsted acid is released and is subsequently used in a post-exposure bake step to deprotect the acid labile polymer. Deprotection transforms the acid labile polymer into a base soluble polymer and ultimately enables positive tone image development in dilute aqueous base. As CA-DUV resist systems continue to mature and are used in increasingly demanding situations, it is critical to develop a fundamental understanding of how robust these materials are. One of the most important factors to quantify is how much acid is photogenerated in these systems at key exposure doses. For the purpose of quantifying photoacid generation several methods have been devised. These include spectrophotometric methods, ion conductivity methods and most recently an acid-base type titration similar to the standard addition method. This paper compares many of these techniques. First, comparisons between the most commonly used acid sensitive dye, tetrabromophenol blue sodium salt (TBPB) and a less common acid sensitive dye, Rhodamine B base (RB) are made in several resist systems. Second, the novel acid-base type titration based on the standard addition method is compared to the spectrophotometric titration method. During these studies, the make up of the resist system is probed as follows: the photoacid generator and resist additives are varied to understand the impact of each of these resist components on the acid generation process.
Proc. SPIE 3999, Radiation and photochemistry of onium salt acid generators in chemically amplified resists, 0000 (23 June 2000); doi: 10.1117/12.388304
The difference in photochemistry and radiation chemistry of sulfonium salt acid generator was investigated by product analysis and time resolved spectroscopic methods for chemically amplified resist application. After KrF excimer laser and electron beam irradiation of sulfonium salt, yields of decomposed products including acid were determined. The ultra fast in-cage reactions after laser irradiation were directly observed by the femtosecond laser flash photolysis method. Intermediates after electron beam irradiation were observed by nanosecond electron beam pulse radiolysis. From both the product analysis and time resolved spectroscopic methods, the contribution of each reaction pathway to acid generation was determined.
Influence of different functional groups on dissolution behavior of resist resins based on charge-transfer polymerization of cycloolefins with maleic anhydride was studied. tertButyl carboxylate moiety was used in all materials as an imaging group. Two approaches were identified for increase in the dissolution rate of totally deprotected polymers (Rmax). First, the Rmax value can be modified by changing the total amount of tertbutyl-protected and unprotected carboxylate moieties in the polymer. On the other hand, Rmax can be improved by introducing the base- hydrolyzable functionalities, such as a formate esters, into the polymer chain. It was established that such polymers are stable to hydrolysis in hydrophobic matrix but undergo quick hydrolysis in hydrophilic film. For example, a polymer in which the cycloolefin moiety has a formate side group displayed dissolution rate of approximately 10,000 angstrom/sec while an analogous polymer in which the cycloolefin moiety does not have a formate group showed a dissolution rate of approximately 500 angstrom/sec.
Cycloolefin/maleic anhydride systems are a favorable approach to dry etch resistant resists for 193 nm lithography. This paper reports on poly(BNC/HNC/NC/MA) tetrapolymers, from t- butylnorbornene carboxylate (BNC), hydroxyethyl-norbornene carboxylate (HNC), norbornene carboxylic acid (NC) and maleic anhydride (MA). It was found that moisture has to be excluded in the synthesis of these systems if reproducible results are to be obtained. Lithographic evaluation of an optimized, modified polymer has shown linear isolated line resolution down to 100 nm using conventional 193 nm illumination. Possible reactions of the alcohol and anhydride moieties are discussed, and the effect of the anhydride unit on polymer absorbance is discussed using succinnic anhydride as a model compound.
Proc. SPIE 3999, Toward controlled resist line-edge roughness: material origin of line-edge roughness in chemically amplified positive-tone resists, 0000 (23 June 2000); doi: 10.1117/12.388307
Material origin of resist line edge roughness (LER) in positive-tone chemically amplified resists has been investigated by designing experiments to mimic the composition and the morphology of the resists in the line edge regions where the resist consists of both the protected polymer and its de-protected counterparts. Blends of the protected and the de-protected base polymers for two silicon containing, positive-tone chemically amplified resists were prepared. Morphology and surface roughness of thin films of the polymer blends were probed with atomic force microscope (AFM). AFM results clearly showed that the protected polymer and its de- protected counterparts form distinct phase separated morphology after spin coating and baking. This phase separation leads to surface roughening of the blend films. Furthermore, the surface roughness of the blend films is enhanced after development with an aqueous TMAH developer. These results suggest that the material origin of resist LER in positive-tone chemically amplified resists stems from the compositional heterogeneity due to phase incompatibility of the protected base polymer and its de-protected counterparts in the line edge regions. The effects of blend composition, the extent of de-protection, and processing conditions on the morphology and surface roughness will be presented. The implications of these findings for high-resolution resist design will also be discussed.
Line-edge roughness (LER) has been identified to cause variation in critical dimension that affects the fidelity of pattern transfer and results in greater variation in device electrical performance. In present study, the effects of aerial image quality and resist processing parameters on the severity of LER are studied. Two chemically amplified resists (CARs) with both acetal and ESCAP-type protection groups are tested and compared. It is found that the image-log-slope (ILS) at pattern edge and the resist contrast are the two major factors affecting the magnitude of LER. The ILS is alterable by pattern density, pattern width, defocus conditions and the application of PSM. On the other hand, the shape and slope of the contrast curve are dependent on both soft bake and post-exposure bake temperature. Due to the finite contrast of resist, solubility change occurs across some dose interval. This corresponds to a boundary width at the aerial image that dictates the transition zone across the pattern edge. As the boundary width region is associated with higher roughness film, smaller boundary width could be translated to better LER. Nevertheless, a three-step development model is used to explain the dependence of LER on pattern density. Basically the discrepancy is due to differential progress of the development front at different ILS when developing time is fixed. In addition, changing the shape and slope of the resist contrast curve through different processing routes could directly modify the boundary width and therefore the LER. The attributes causing different LER performances of the two resists are also discussed.
Lithographic performance and line-edge roughness (LER) of several experimental high activation energy resists containing hydroxystyrene-co-styrene-co-t-butylmethacrylate terpolymers have been determined as a function of illumination conditions, polymer, photoacid generator and quencher composition, as well as process variations. Important lithographic properties, such as iso/dense bias, exposure latitude, may deteriorate upon minimization of LER. LER is largely affected by the changes of the optical settings, while material and process influences are less pronounced.
Aerial image contrast dependence of line edge roughness (LER) in 130 nm equal line and space resist patterns was investigated using chemically amplified resists on organic bottom antireflective coatings both for KrF imaging and for ArF imaging. The chemically amplified ArF resist exhibiting high transparency both at 248 nm and at 193 nm was found to resolve 130 nm equal line and space resist patterns both on the KrF imaging systems and on the ArF imaging system using an identical binary mask set. Average roughness measurement data derived from top-down scanning electron microscopic images of the 130 nm equal line and space resist patterns indicated that the LER decreased with increasing the aerial image contrast, varying with the wavelengths of illumination light sources and the illumination conditions. It was, however, found that the LER in the chemically amplified resist optimized for the ArF imaging system was 1.9 - 2.3 times larger than those in the chemically amplified resists optimized for the KrF imaging systems, in spite of the fact that the aerial image contrast of the ArF imaging system was 1.5 - 2.5 times higher than those of the KrF imaging systems.
Top surface imaging (TSI) systems based on vapor phase silylation have been investigated for use at a variety of wavelengths. This approach to generating high aspect ratio, high resolution images held great promise particularly for 193 nm and EUV lithography applications. Several 193 nm TSI systems have been described that produce very high resolution (low k factor) images with wide process latitude. However, because of the line edge roughness associated with the final images, TSI systems have fallen from favor. In fact, top surface imaging and line edge roughness have become synonymous in the minds of most. Most of the 193 nm TSI systems are based on poly(p-hydroxystyrene) resins. These polymers have an unfortunate combination of properties that limit their utility in this application. These limiting properties include (1) High optical density (2) Poor silylation contrast (3) Low glass transition temperature of the silylated material. These shortcomings are related to inherent polymer characteristics and are responsible for the pronounced line edge roughness in the poly(p-hydroxystyrene) systems. We have synthesized certain alicyclic polymers that have higher transparency and higher glass transition temperatures. Using these polymers, we have demonstrated the ability to print high resolution features with very smooth sidewalls. This paper will describe the synthesis and characterization of the polymers and their application to top surface imaging at 193 nm. Additionally, it will describe the analysis that was used to tailor the processing and the polymer's physical properties to achieve optimum imaging.
The impact of airborne basic molecular contamination (MB) on the performance of chemically amplified (CA) resist systems has been a long standing problem. Low ppb levels of MB may be sufficient for robust 0.25 micrometer lithography with today's advanced CA resist systems combined with adequate chemical air filtration. However, with minimum CD targets heading below 150 nm, the introduction of new resist chemistries for Next Generation Lithography, and the trend towards thinner resists, the impact of MB at low and sub-ppb levels again becomes a critical manufacturing issue. Maximizing process control at aggressive feature sizes requires that the level of MB be maintained below a certain limit, which depends on such parameters as the sensitivity of the CA resist, the type of production tools, product mix, and process characteristics. Three approaches have been identified to reduce the susceptibility of CA resists to MB: effective chemical air filtration, modifications to resist chemistry/processing and cleanroom protocols involving MB monitoring and removal of MB sources from the fab. The final MB concentration depends on the effectiveness of filtration resources and on the total pollution originating from different sources in and out of the cleanroom. There are many well-documented sources of MB. Among these are: ambient air; polluted exhaust from other manufacturing areas re-entering the cleanroom through make-up air handlers; manufacturing process chemicals containing volatile molecular bases; certain cleanroom construction materials, such as paint and ceiling tiles; and volatile, humidifier system boiler additives (corrosion inhibitors), such as morpholine, cyclohexylamine, and dimethylaminoethanol. However, there is also an indeterminate number of other 'hidden' pollution sources, which are neither obvious nor well-documented. None of these sources are new, but they had little impact on earlier semiconductor manufacturing processes because the contamination levels are low enough that they were tolerable. The purpose of this article is to investigate some of these frequently overlooked sources of basic molecular contamination and to thereby increase the reader's awareness of their potential risks.
Through the use of an optimized 248 nm optical lithography process and an in-situ resist trimming step developed as part of the gate layer etch, we have been able to fabricate 0.12 micron logic gates with acceptable manufacturing process latitudes. The resist trimming step is performed just prior to etching the SiON anti-reflective coating layer. Because the trimming step is done in-situ as part of the gate etch process, the impact on throughput is minimal. The resist trimming process allows the printing of features larger than the target width, increasing the photolithography process latitude by allowing the process to be run at the most optimal conditions. The trimming step also reduces the line edge roughness which is commonly seen for many chemically amplified photoresists. Photolithography process latitudes with and without trimming are compared for on-wafer dimensions of 0.15 and 0.12 micron. The effect of the trimming step on intrawafer critical dimension control is quantified, and electrical performance of the transistors is presented. The extendibility of this technique for 0.10 micron features is presented. Empirical results are compared to PROLITH simulations, and results of a feasibility study for 193 nm lithography are included.
Thermal flow process using a novel resist called the SMART (SaMsung Advanced Resist for Thermal flow process) was studied. The SMART consists of the conventional polyhydroxystyrene-based polymers and the additives inducing thermal cross-linking reactions with the base polymers. With the SMART resist, 240 nm contact holes were defined by KrF lithography system. Then following one-step thermal flow resulted in down to 90 nm contact holes with vertical sidewall profile. At 90 nm resolution, the critical dimension (CD) variation on 200 mm wafer was less than 20 nm. Its etch selectivity to silicon oxide was improved due to the cross- linking reaction. The main feature of the SMART is one step process having the linear dependency of flow rate on baking temperature. The flow amount can be controlled within the range of 100 - 150 nm without any significant pattern deformation. The thermal flow process using the SMART is a promising candidate for the fabrication of gigabit devices.
Proc. SPIE 3999, Effect of acid labile ether protecting groups on the oxide etch resistance and lithographic performance of 248-nm resists, 0000 (23 June 2000); doi: 10.1117/12.388316
In our attempts to develop etch resistance 248 nm positive resists, we have designed and synthesized thermally stable and acid sensitive methylbenzyl ether (MBE) protected poly(hydroxystyrene) derivatives. Results presented in this paper clearly illustrate that the MBE protecting group provides superior etch resistance to conventional carbonate, ester and acetal/ketal based protecting groups. It is also shown that the MBE protecting group is thermally stable and undergoes acid catalyzed deprotection leading to preferential rearrangement products due to electrophilic ring substitution. Such a rearrangement is shown to provide a unique mechanism to reduce/eliminate resist shrinkage and improve lithographic performance.
Lithography at 157 nm represents the next evolutionary step in the Great Optical Continuum and is currently under investigation as a possible successor to 193-nm lithography. If successful, the photoresists used for this technology must be initially capable of 100-nm resolution and be extendable to less than 70 nm. Unfortunately, as with the transition to shorter wavelengths in the past, the photoresist materials developed for longer wavelengths appear to be too absorbent for practical use as a traditional high resolution single layer resist imageable with 157 nm radiation. Until new photoresist materials are developed that are sufficiently transparent to be used as single layer resists, the existing need for a resist to be used to evaluate 157 nm imaging systems, such as the prototype steppers now under development, will have to be met by employing existing resists. We have surveyed the commercial resist market with the dual purpose of identifying the general categories of commercial resists that have potential for use as tool evaluation resist and to baseline these resists for comparison against future 157 nm resist candidates. Little difference was observed in the 157- nm absorbance between different classes of resists with most resists having an absorbance between 6 and 8 per micron. Due to the high absorbance at 157 nm of polyhydroxystyrene, polyacrylate, and polycyclic copolymer based resists, the coated resist thickness will need to be under 100 nm. All four commercial resists evaluated for imaging at 157 nm showed that they are capable of acting as a tool testing resist to identify issues attributed focus, illumination, and vibration. Finally, an improved tool testing resist can be developed within the existing resist material base, that is capable of 100 nm imaging with a binary mask and 70 nm imaging with a phase shift mask. Minor formulation modification can greatly improve resist performance including improved resolution and reduced line edge roughness.
We investigated characteristics of resists consisting of well- known resist polymers by the F2 excimer laser exposure. Negative-resist behavior due to crosslinking or decarboxylation was observed for poly(p-hydroxystyrene) based resists, polysilsesquioxane based resists and polynorbornenecarboxylate based resists. On the other hand, polymethacrylate based resists indicated a clear positive- resist behavior with high contrast without crosslinking. Therefore we studied further details of the characteristics of resists consisting of photodecomposable polymers such as methacrylate polymers and found methacrylate polymers bearing alicyclic groups to be resist with high dissolution contrast and little outgassing. The 200 nm L/S pattern with vertical profile at 1000 angstrom thickness was obtained by F2 excimer laser contact exposure in spite of poor transmittance of 30% per 1000 angstrom thickness. It was showed that the resolution by a 157 nm scanner with the lens of NA0.7/(sigma) 0.7 was 70 nm L/S and 40 nm iso-line at 1000 angstrom thickness by PROLITH/3D lithography simulator using experimental parameters.
The design of an organic material satisfying all of the requirements for a single layer photolithography resist at 157 nm is a formidable challenge. All known resists used for optical lithography at 193 nm or longer wavelengths are too highly absorbing at 157 nm to be used at film thicknesses greater than approximately 90 nm. Our goal has been to identify potential, new photoresist platforms that have good transparency at 157 nm (thickness normalized absorbance of 2.5 micrometer-1 or less), acceptable plasma etch resistance, high Tg and compatibility with conventional 0.26 N tetramethylammonium hydroxide developers. We have been investigating partially fluorinated resins and copolymers containing transparent acidic groups as potential 157 nm photoresist binders; a variety of material with promising initial sets of properties (transparency, etch resistance, solubility in aqueous TMAH) have been identified. Balancing these properties with imaging performance, however, remains a significant challenge.
Finding materials that offer the all of the characteristics required of photoresist matrix resin polymers while trying to maintain a high level of transparency at 157 nm is a daunting challenge. To simplify this task, we have broken the design of these polymers down into subunits, each of which is responsible for a required function in the final material. In addition, we have begun collecting gas-phase VUV spectra of these potential subunits to measure their individual absorbance contributions. Progress on developing materials for each of these subunits are presented along with plans for future studies.
Proc. SPIE 3999, Theoretical calculations of photoabsorption of molecules in the vacuum ultraviolet region, 0000 (23 June 2000); doi: 10.1117/12.388321
The theoretical design of transparent materials for use as photoresist materials for F2 lithography (157 nm) requires molecular orbital calculations of the photoabsorption of molecules in the vacuum ultraviolet (VUV) region. To predict photoabsorption, this study employed ab initio molecular orbital calculations at the level of single-excitation configuration interaction (CIS) combined with an empirical correction to the calculated peak position. This method was used to calculate the photoabsorption spectra of various functional groups, such as alcohol, ether, ketone, carboxylic acid and ester groups, as well as several polymers and alicyclic groups. On the basis of the calculation results, the applicability of these functional groups to photoresists for F2 lithography is discussed.
Proc. SPIE 3999, Methods to improve radiation sensitivity of chemically amplified resists by using chain reactions of acid generation, 0000 (23 June 2000); doi: 10.1117/12.388322
The approach toward the enhancement of the resist sensitivity was investigated by introducing the radical chain reactions into the acid generation processes. The acid yields of various ionic and nonionic acid generators in some solvents and films were examined to search the most efficient system of the radical chain acid proliferation reactions. The acid proliferation was discussed using Gibbs free energy change of the electron transfer reactions in the chain reactions. The most efficient system to realize the chain reactions was the combination of iodonium salt acid generator and secondary alcohol acid amplifiers. In acrylic polymer resists containing the iodonium salt and the alcohol compounds, resist sensitivity was enhanced in electron beam lithography.
A system for photochemical analysis of F2-excimer laser lithography processes has been developed. The system, VUVES- 4500, consists of 3 units: (1) an exposure and bake unit that uses the F2-excimer laser to carry out a flood exposure and then post-exposure bake (PEB) of a resist coated wafer, (2) a unit for the measurement of development rate of photoresists, and (3) a simulation unit that utilizes PROLITH to calculate the resist profiles and process latitude using the measured development rate data. With this system, preliminary evaluation of the performance of F2 excimer laser lithography can be performed without a lithography tool that is capable of imaging and alignment. Profiles for 100 nm lines are simulated for the PAR-101 resist (manufactured by Sumitomo Chemical) and the SAL-601 resist (manufactured by Shipley), a chemically amplified resist that has sensitivity at the F2 excimer laser wavelength. The simulation successfully predicts the resist behavior. Thus, it is confirmed that the system enables efficient evaluation of the performance of F2 excimer laser lithography processes.
Proc. SPIE 3999, Pattern transfer of sub-100-nm features in polysilicon using a single-layer photoresist and extreme ultraviolet lithography, 0000 (23 June 2000); doi: 10.1117/12.388325
Until recently, pattern transfer of 100 nm-scale features using extreme ultraviolet lithography (EUVL) was accomplished by using an intermediate hard mask material such as silicon oxide or silicon oxynitride. In this experiment, a single layer of deep-UV photoresist, 175 nm thick, was patterned using Sandia's 10x-Microstepper EUV imaging system. The pattern was subsequently transferred into an underlying polysilicon layer, 300 nm thick, using a reactive ion etch process. Cross-sectional and top-down scanning electron microscopy analysis was performed to evaluate the etch process and determine sidewall angle and the etch selectivity between polysilicon and the photoresist, and were found to be > 85 degrees and approximately 5:1, respectively.
Proc. SPIE 3999, Reaction mechanisms in silicon-based resist materials: polysilanes for deep-UV, EUV, and x-ray lithography, 0000 (23 June 2000); doi: 10.1117/12.388326
The present paper describes mechanisms of photo- and radiation induced reactions in silicon based resist materials: polysilanes with Si-branchings and Si-H bondings, as a candidate for EUV and X-ray resist materials. Polysilanes have been previously confirmed to show positive-type resist properties for UV light, electron beams (EB), X-rays, etc. at any conditions. However the cross-linking reaction of the polymer became dominant in the polysilane with Si-branchings upon irradiation to UV light, EB, and ion beams. The efficiency of the cross-linking reaction strongly depended on the ratio of Si-branching giving polymer gels in the polysilane with higher amount of Si-branching than 5% even for (gamma) -ray irradiation. Polyhydrosilanes containing vinyl groups revealed to cause efficient cross-linking reactions with the presence of catalysts for hydrosilylation upon exposure to deep UV or X-rays, leading to high-sensitive negative resist materials for EUV lithography.
The positive photoresist is assumed to be transferred, via intermediate gel state, from the resist to the developer solution. A mechanism for the development of positive photoresist is proposed to derive a development rate equation considering gel layer formation. This new model using the concept of gel layer can better fit recent experimental dissolution rate data exhibiting a notch shape which is critical to resist performance. The model parameters are obtained by fitting measured dissolution data using the least square method. The variation of gel layer thickness during dissolution is well explained with the model.
Thick photoresist films continue to play an important role in many areas such as micromachining, magnetic recording heads, or wafer bumping. The composition of the solid film is critical in achieving the high performance of the thick resist needed at the very high aspect ratios (> 10) which are being phased in by today's production lines. Dissolution as well as optical properties of thick resist films are affected by resist drying and by the PAC decomposition process at higher temperatures. In our study we determine the residual solvent in the film as a function of film thickness and soft bake conditions for AZRP4620 resist, AZR9260 resist, and the new ultrahigh viscosity AZREXP PLPTM100XT resist at film thickness between 10 and 40 micrometer. Lithographic performance is compared for different levels of residual solvent and PAC decomposition. A direct determination of the solvent content by gas chromatography is correlated with results obtained by FT-IR measurements. It is found that determination of solvent content by FT-IR measurements is possible but that the FT-IR signal is convoluted with that of a PAC decomposition product. PAC decomposition itself is also monitored by the C equals N2 FT-IR absorbance, and PAC decomposition kinetics are analyzed to give the kinetic parameters.
Proc. SPIE 3999, Process characterization of an aqueous developable photosensitive polyimide on a broadband stepper, 0000 (23 June 2000); doi: 10.1117/12.388329
The number of lithographic applications that require the use of photosensitive polyimides is rapidly increasing. The major applications for photosensitive polyimides include flip chip bumping, advanced packaging, passivation stress buffer relief and interlevel dielectric films. The thickness requirements for these applications can vary from less than 1 micron to more than 20 microns. For processing simplicity and total cost of ownership, it is desirable to use an aqueous developable polyimide to maintain compatibility with standard photoresist processes. Optical steppers offer significant advantages for processing thick photosensitive polyimides due to the tighter overlay and improved critical dimension (CD) control possible with these lithography tools versus contact printers or full wafer scanners. A stepper has an additional advantage with thick polyimide structures since the focus can be adjusted at various levels into the film, which will result in improved wall angles and enhanced aspect ratios. For this study the performance of a commercially available, positive acting, aqueous developable polyimide is examined over a range of thicknesses using a novel broadband exposure system. This stepper exposes photosensitive films using the full mercury vapor spectrum output from 350 nm to 450 nm (g, h and i line) and allows rapid exposure of both broadband as well as narrow spectral sensitive films. The system has been optimized for thick photoresists and polyimides and uses a combination of low numerical aperture with maximum wafer level intensity to achieve well formed images in thick films yet offers the advantages of tighter CD control and tight overlay inherent in projection optics. Basic photoresist characterization techniques established for thin films in IC manufacturing are applied to the photosensitive polyimide films. Cross sectional SEM analysis, process linearity and process windows are used to establish relative lithographic capabilities for different polyimide thicknesses and stepper exposure wavelengths. The trade-offs for each of the various process capability windows are reviewed to determine the optimum process conditions for different polyimide applications.
The resolution of the protein patterning on polymer surfaces is modulated by the mechanism of attachment. Two mechanisms can be applied for the high resolution patterning on radiation-assisted functionalized polymer surfaces: hydrophobicity-controlled; and chemical linkage patterning. The present contribution assesses the merits and drawbacks of these two mechanisms in terms of resolution and contrast of protein/peptide features. Two microlithographic materials (an acrylate-based system and a diazo-naphto-quinone one); and two lithographic methods (e-beam and optical) have been used to test the merits of the protein patterning mechanisms. Finally these two 'model' materials have been used in a bi-layer architecture. The hydrophobicity-controlled patterning produces sharp images but with multiple defects, whereas chemical linkage produces defect-free images but with a decreased contrast.
Proc. SPIE 3999, Process characterization of an ultrathick strippable photoresist using a broadband stepper, 0000 (23 June 2000); doi: 10.1117/12.388331
The number of lithographic applications that require the use of ultra-thick photoresists is rapidly increasing. Extremely large structure heights and high aspect ratios are often required for micro-electrodeposition of mechanical components such as coils, cantilevers and valves. These ultra-thick photoresists can also be used as a mold in micromachining (MEMS) applications. Ultra-thick photoresists are also used in bump bond applications to define the size and location of the bonds for advanced packaging. The process optimization required to obtain high aspect ratio structures in ultra-thick photoresist films is extremely challenging. The aspect ratios far exceed those encountered in advanced submicron lithography for integrated circuit (IC) manufacturing. MicroChem's epoxy- based SU-8 thick photoresist, while yielding high performance in the thickness range greater than 100 micrometer, uses organic solvent development and can not be removed using standard stripper chemistries. This process issue limits the use of SU-8 to applications where photoresist removal is not necessary. For this study an experimental chemically- amplified, aqueous-developable, strippable, negative photoresist designated STFN v1 was examined at a thickness of 50 micrometer using a broad band lithography system optimized for thick photoresist processing. This stepper uses a combination of low numerical aperture, high wafer plane irradiance and broadband exposure from 350 to 450 nm for optimal processing of thick photosensitive films. Basic photoresist characterization techniques established for thin films in IC manufacturing are applied to STFN v1 photoresist using a ghi-line lithography system. Cross sectional SEM analysis, process linearity and process windows are used to establish the lithographic capabilities of the photoresist. The performance results for the Strippable STFN v1 photoresist are then compared with the non-strippable material SU-8.
We have studied the chemical changes that result in photoresist used for LCD device manufacturing due to various stresses that the photoresist is subjected to during the recovery and reclamation process. (1) When the roll coater recovery photoresist is kept open at room temperature for 50 days, needle-shaped crystals formed in the recovery photoresist. Examination by X-ray diffraction revealed that those crystals are ammonium zinc sulfate hexahydrate that was grown owing to the dissolution of the roll material of coater. It is possible to avoid this problem by appropriate selection of the material that comes in contact with photoresist. (2) When new, tightly sealed photoresist was subjected to thermal stress at 40 degrees Celsius for 100 days, a bead-shaped coagulated material appeared. NMR analysis showed that the coagulated material was mainly a photosensitive ester tetramer formed by a thermal change. Furthermore, HPLC analysis showed that the tetramer consisted of mainly tetraesters, but contained diesters, triesters, even monoesters. (3) In the case of the spin coating, although there is virtually no damage from physical stress, water content of about 1% is absorbed from the air during the spin-coating process, and the photo-active compound is decomposed by the thermal stress on the photoresist, lowering the photosensitivity. This change in the photosensitivity of the photoresist can be virtually eliminated if the photoresist contains now water so that the photo-active compound chemical change does not occur during the distillation process. (4) In the reclamation of photoresist, (a) the photoresist is coated in a dry nitrogen atmosphere with no water content, and next (b) the photoresist in a coater cup is dissolved by a photoresist solvent and recovered, and then (c) the viscosity of the recovered photoresist is adjusted by distillation, and it is filtered at the end. By adding fresh photoresist, this type of reclamation can be continuously performed.
To reach the sub-0.3 micrometer contact hole pattern by i-line lithography, some advanced technology was introduced such as Phase Shift Mask (PSM) and/or photoresist (PR) flow process. It may be possible that the contact hole is patterned with 0.18 micrometer resolution by the PR flow process with PSM. However, PSM cause the Tg temperature of resist down at the phase shift area. And it also leads the bulk effect by the different pattern density at the cell edge. Thus, during the PSM + PR flow process, 'contact shift' and 'contact distortion' (so-called 'Eyebrow') defects are unavoidable. To repel these defects, we designed the new lithographic process; the UV-bake before thermal flow process. By the UV light at 130 +/- 10 degrees Celsius, the resist was cured at the resist surface. This surface-cured resist has the higher Tg temperature even the normal PSM reticle induces the Tg down. Top surface curing (hardening) also minimized the bulk effect. To maximize the UV bake effects, we developed new resist which was optimized to UV-bake process. By UV-bake process with new resist, the 0.2 micrometer contact hole was patterned. ET margin was 14 nm/10 mJ, and DOF margin was 0.6 micrometer at the mass fabrication device. The 'Eyebrow' and contact shift defects are not detected.
Proc. SPIE 3999, Development of an i-line attenuated phase shift process for dual inlay interconnect lithography, 0000 (23 June 2000); doi: 10.1117/12.388335
The transition from aluminum/oxide to copper/low-k dielectric interconnect technology involves a variety of fundamental changes in the back-end manufacturing process. The most attractive patterning strategy involves the use of a so-called dual inlay approach, which offers lower fabrication costs by the elimination of one inter-level dielectric (ILD) deposition and polish sequence per metal layer. In this paper, the lithographic challenges for dual inlay, including thin-film interference effect, resist bulk effect, and optical proximity effects are reviewed. The use of attenuated phase shift (aPSM) reticles for patterning vias and trenches was investigated, and shown to provide adequate process margin by optimizing the photoresist and exposure tool parameters. Our results indicate that using appropriately sized attenuated phase shift technique increases the photospeed considerably and simultaneously improves the common process window with sufficient sidelobe suppression margin. The cost of ownership tradeoffs between an attenuated PSM I-Line process and a DUV binary process are discussed.
Resins used in photoresist manufacturing are often relatively expensive once processing steps (fractionation e.g.) and yield losses are factored into the net cost. We have previously reported on the merits of using an economically more attractive fractionation process using a liquid/liquid centrifuge. Further refinements of this method indicate that waste streams could be reduced by recycling the extractant phase and that lower molecular weight fractions removed from the starting resin might be used in making other resist ingredients [speed enhancers, photoactive compound (PAC) backbones e.g.]. Both of these improvements would reduce the overall manufacturing costs of making resist raw materials and the final products made with them.
The major challenge for a new thin bottom anti-reflection coating (BARC) materials is how efficiently the light reflection can be reduced from the substrate. This becomes complex when the substrate involves transparent inter-layers such as the damascene process. The optimum refractive index (n) and extinction coefficient (k) for a new BARC were simulated with a commercially available software. We have successfully developed a new BARC, SWK-EX6, with other requirements such as high etch selectivity and gap filling capability considered. Lithographic performances were evaluated with a KrF exposure tool (NA 0.60, 2/3 annular illumination) for 150 nm line and space patterns.
Poly (4-hydroxylstyrene) formate (PHSF) can be synthesized from PHS, DMF and O equals PCl3. DMF is not only the solvent but also the reactant. When its use level is excessive, the esterification ratio of PHSF is decided by the use level of O equals PCl3. The higher the esterification ratio of PHSF, the lower the solubility of PHSF in basic aqueous. With deep UV light, PHSF can directly photodecomposite to eliminate CO. In the presence of near UV light acid generators such as triazine-B, PHSF can rapidly occur photo-acidolysis. The above performances are just the requisite performances of the composition of a positive chemical amplification resist or thermosensitive material. The novolak resins with high proportional alkyl ether bonds or phenolic ether bonds can be synthesized by controlling the reaction conditions with alkali-acid or acid-alkali stepwise method. These ether structures are both easy to occur acidolysis, then the resins can become soluble in aqueous basic developing solution PHSF with proper esterification ratio is mixed with a high acid solubilyzation novolak (HASN) resin at proper ratio, and a fixed quantity of near UV light acid generator is added, then they form the positive photoresist. If a near infrared absorption dye is added, the thermoresist scanned by near infrared laser are obtained, or they can form the thermal imaging compositions used in thermosensitive plate.
Proc. SPIE 3999, Positive photosensitive polyimide synthesized by block-copolymerization for KrF lithography, 0000 (23 June 2000); doi: 10.1117/12.388339
We have developed photosensitive polyimides synthesized by block-copolymerization for KrF lithography. The polyimides were synthesized from aliphatic tetracarboxylic dianhydrides and aliphatic diamines. Aliphatic rings have been introduced to reduce absorption at 248 nm (KrF). We have obtained line patterns of 0.17 micrometer at a dose of 170 mJ/cm2, and line and space patterns of 0.25 micrometer at a dose of 190 mJ/cm2.
Proc. SPIE 3999, Synthesis and lithographic performance of poly-4-hydroxphenyl-ethyl-methacrylate-based negative resists, 0000 (23 June 2000); doi: 10.1117/12.388340
Currently, most photosensitive coating formulations for use in 248 nm semiconductor lithography use polyhydroxy styrene resin homopolymers, and a myriad of copolymers, as the base material for positive acting resist. For negative resists, many polyhydroxy styrene homopolymer derivatives, where the pendant phenolic hydroxy has been modified, are used. This is because of the current commercial availability of the polymer material in a broad range of controlled and reproducible molecular weights, the ability to form uniform films, and the compatibility with a wide range of commonly used resist formulation organic solvents, additives and aqueous base developers. Probably the most important attribute of polyhydroxy styrene resins that led to its ubiquitous use is the lack of a competitive UV self-absorption of this resin at 248 nm wavelengths compared to the well known novolac resins. In this paper we will describe an alternative methacrylate based polymer that contains a pendant phenolic functionality, show some initial imaging results and discuss the general advantages of disadvantages of substituting polyhydroxy styrene homopolymer resins. In particular the use of polymers based on 4-hydroxyphenyl ethyl methacrylate monomer in negative resist formulations for 248 nm (KrF) applications will be discussed.
Interest in developing materials with reduced environmental impact has led us to design resist formulations that can be cast from and developed with aqueous media. A water soluble chemically amplified positive tone photoresist based on thermal decarboxylation of a half ester of malonic acid has been designed. Two solubility switches are required for this application. Sequential volatilization of ammonia followed by decarboxylation of a malonic acid gives the first solubility switch and an acid catalyzed thermolysis of an acid labile protecting group gives the second. The thermal stability of the acid labile protecting group is critical in this design. Tert-butyl esters decompose during the decarboxylation process resulting in poor imaging contrast. Polymers bearing isobornyl esters are more thermally stable, and show excellent reaction selectivity between the decarboxylation and the thermolysis of the ester. Preliminary imaging of this system provided 1 micrometer resolution with 248 nm exposure and standard TMAH developer. The dry etch stability of the photoresist films is comparable to a conventional photoresist APEX-ER.