Paper
12 June 2003 Hardmask technology for sub-100 nm lithographic imaging
Katherina Babich, Arpan P. Mahorowala, David R. Medeiros, Dirk Pfeiffer, Karen E. Petrillo, Marie Angelopoulos, Alfred Grill, Vishnubhai Patel, Scott Halle, Timothy A. Brunner, Richard Conti, Scott D. Allen, Richard Wise
Author Affiliations +
Abstract
The importance of hardmask technology is becoming increasingly evident as the demand for high-resolution imaging dictates the use of ever-thinner resist films. An appropriately designed etch resistant hardmask used in conjunction with a thin resist can provide the combined lithographic and etch performance needed for sub-100 nm device fabrication. We have developed a silicon-based, plasma-enhanced chemical vapor deposition (PECVD) prepared material that performs both as an antireflective coating (ARC) and a hardmask and thus enables the use of thin resists for device fabrication. This ARC/hardmask material offers several advantages over organic bottom antireflective coatings (BARC). These benefits include excellent tunability of the material's optical properties, which allows superior substrate reflectivity control, and high etch selectivity to resist, exceeding 2:1. In addition, this material can serve as an effective hardmask etch barrier during the plasma etching of dielectric stacks, as the underlying silicon oxide etches eight times faster than this material in typical fluorocarbon plasma. These properties enable the pattering of features in 1-2 μm dielectric stacks using thin resists, imaging that would otherwise be impossible with conventional processing. Potential extendibility of this approach to feature sizes below 100nm has been also evaluated. High resolution images as small as 50nm, have been transferred into a 300nm thick SiO2 layer by using Si ARC/hardmask material as an etch mask. Lithographic performance and etch characteristics of a thin resist process over both single layer and index-graded ARC/hardmask materials will be shown.
© (2003) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Katherina Babich, Arpan P. Mahorowala, David R. Medeiros, Dirk Pfeiffer, Karen E. Petrillo, Marie Angelopoulos, Alfred Grill, Vishnubhai Patel, Scott Halle, Timothy A. Brunner, Richard Conti, Scott D. Allen, and Richard Wise "Hardmask technology for sub-100 nm lithographic imaging", Proc. SPIE 5039, Advances in Resist Technology and Processing XX, (12 June 2003); https://doi.org/10.1117/12.485174
Lens.org Logo
CITATIONS
Cited by 17 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Silicon

Reflectivity

Lithography

Plasma

Interfaces

Photoresist processing

RELATED CONTENT


Back to Top