Paper
5 October 2005 Optical thin films deposition by MDECR-PECVD
Author Affiliations +
Abstract
We designed and built Matrix Distributed ECR (MDECR) PECVD reactor dedicated for dielectric filters deposition and equipped it with multiple sensors for process control. Planar matrix geometry of plasma source is based on electron cyclotron resonance effect at 2.45 GHz microwave frequency and provides scalability of the deposition on large area substrates. High (up to 5 nm/sec) deposition rate obtained due to high dissociation efficiency and careful design of the gas injection system. Optical emission spectroscopy, quadrupole mass-spectrometry and spectroscopic and multi-channel kinetic ellipsometry are installed for in-situ studies and control of the film deposition. We performed studies of the nature of high-density plasma discharge in silane, oxygen and nitrogen mixture and correlated its properties with optical and physical properties of deposited materials. To demonstrate the capabilities, a wide band gradient index antireflection coating on glass was realized by deposition of SiOxNy alloy thin films. The predefined variation of an index in a profile is obtained by changing the flows of precursors. Real-time control is performed with multi-channel kinetic ellipsometry.
© (2005) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Bicher Haj Ibrahim, Pavel Bulkin, Dmitri Daineka, and Bernard Drévillon "Optical thin films deposition by MDECR-PECVD", Proc. SPIE 5963, Advances in Optical Thin Films II, 59631Q (5 October 2005); https://doi.org/10.1117/12.624825
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Gradient-index optics

Ellipsometry

Antennas

Antireflective coatings

Glasses

Plasma

Silicon

Back to Top