Paper
29 March 2006 Selection and evaluation of developer-soluble topcoat for 193nm immersion lithography
Author Affiliations +
Abstract
Successful developer-soluble topcoats have to fulfill numerous requirements; specifically they have to serve as a barrier layer and be compatible with the resist. Some of the requirements and compatibility issues have been understood; others are still under-investigation by the joint efforts of lithographers and resist chemists. This paper addresses these requirements from the perspective of overall lithographic performance for developer-soluble topcoats used in 193nm water immersion lithography. We demonstrate that with the optimized combination of resist and developer-soluble topcoat 90nm 1:1 dense lines can be printed using a prototype tool, ASML AT 1150i, and a binary image mask (BIM) with a maximum depth-of-focus (DOF) of ~1.2μm. An approximate 2X DOF improvement over dry lithography that was theoretically expected has been truly demonstrated. Topcoat related defectivity as well as defect reduction efforts are also discussed.
© (2006) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Yayi Wei, K. Petrillo, S. Brandl, F. Goodwin, P. Benson, R. Housley, and U. Okoroanyanwu "Selection and evaluation of developer-soluble topcoat for 193nm immersion lithography", Proc. SPIE 6153, Advances in Resist Technology and Processing XXIII, 615306 (29 March 2006); https://doi.org/10.1117/12.655725
Lens.org Logo
CITATIONS
Cited by 12 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Semiconducting wafers

Photomasks

Immersion lithography

Photoresist processing

Line edge roughness

Lithography

Particles

Back to Top