Paper
19 March 2007 LPP EUV source development for HVM
David C. Brandt, Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, David W. Myers, Norbert R. Böwering, Alexander N. Bykanov, Georgiy O. Vaschenko, Oleh V. Khodykin, Jerzy R. Hoffman, Ernesto Vargas L., Rodney D. Simmons, Juan A. Chavez, Christopher P. Chrobak
Author Affiliations +
Abstract
This paper provides a detailed review of development progress for a laser-produced-plasma (LPP) extreme-ultra-violet (EUV) source with performance goals targeted to meet joint requirements from all leading scanner manufacturers. We present the latest results on drive laser power and efficiency, source fuel, conversion efficiency, debris mitigation techniques, multi-layer-mirror coatings, collector efficiency, mass-limited droplet generation, laser-to-droplet targeting control, and system use and experience. The results from full-scale prototype systems are presented. In addition, several smaller lab-scale experimental systems have also been constructed to test specific physical aspects of the light sources. This report reviews the latest experimental results obtained on these systems with a focus on the topics most critical for a source intended for use in high volume manufacturing (HVM). LPP systems have been developed for light-sources applications to enable EUV scanners for optical imaging of circuit features at nodes of 32 nm and below on the international technology roadmap for semiconductors (ITRS). LPP systems have inherent advantages over alternate source types, such as discharge produced plasmas (DPP), with respect to power scalability, source etendue, collector efficiency, and component lifetime. The capability to scale EUV power with laser repetition rate and pulse energy is shown, as well as the modular architecture for extendability. In addition, experimental results of debris mitigation techniques and witness sample lifetime testing of coated multi-layer-mirrors (MLM) are described and used to support the useful lifetime estimation of a normal incidence collector. A roadmap to meet requirements for production scanners planned well into the next decade is also presented.
© (2007) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
David C. Brandt, Igor V. Fomenkov, Alex I. Ershov, William N. Partlo, David W. Myers, Norbert R. Böwering, Alexander N. Bykanov, Georgiy O. Vaschenko, Oleh V. Khodykin, Jerzy R. Hoffman, Ernesto Vargas L., Rodney D. Simmons, Juan A. Chavez, and Christopher P. Chrobak "LPP EUV source development for HVM", Proc. SPIE 6517, Emerging Lithographic Technologies XI, 65170Q (19 March 2007); https://doi.org/10.1117/12.713279
Lens.org Logo
CITATIONS
Cited by 36 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Gas lasers

Plasmas

Tin

Mirrors

Reflectivity

Extreme ultraviolet lithography

RELATED CONTENT


Back to Top