Paper
5 April 2007 Enabling immersion lithography and double patterning
Kevin M. Monahan, Amir Widmann
Author Affiliations +
Abstract
Most semiconductor manufacturers expect 193nm immersion lithography to remain the dominant patterning technology through the 32nm technology node. Conventional immersion lithography, however, is unlikely to take the industry to 32nm half-pitch. Various double patterning techniques have been proposed to address this limitation. These solutions will combine design for manufacturability (DFM) and advanced process control (APC) strategies to achieve desired yield. Each strategy requires feeding forward design and process context and feeding back process metrics. In this work, we discuss some interim solutions for control of double patterning lithography (DPL), as well as some spacer-etch alternatives. We conclude with focus-exposure data showing some potential challenges for pitch-splitting strategies implemented in the context of immersion lithography.
© (2007) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Kevin M. Monahan and Amir Widmann "Enabling immersion lithography and double patterning", Proc. SPIE 6518, Metrology, Inspection, and Process Control for Microlithography XXI, 65181M (5 April 2007); https://doi.org/10.1117/12.714204
Lens.org Logo
CITATIONS
Cited by 5 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Overlay metrology

Double patterning technology

Immersion lithography

Etching

Semiconducting wafers

Critical dimension metrology

Lithography

Back to Top