Paper
30 October 2007 Measuring and characterizing the nonflatness of EUVL reticles and electrostatic chucks
Author Affiliations +
Abstract
According to the International Technology Roadmap for Semiconductors, meeting the strict requirements on image placement errors in the sub-45-nm regime may be one of the most difficult challenges for the industry. For Extreme Ultraviolet Lithography (EUVL), the nonflatness of both the mask and chuck is critical as well, due to the nontelecentric illumination during exposure. To address this issue, SEMI Standards P37 and P40 have established the specifications on flatness for the EUVL mask substrate and electrostatic chuck. This study investigates the procedures for implementing the Standards when measuring and characterizing the shapes of these surfaces. Finite element simulations are used to demonstrate the difficulties in supporting the mask substrate, while ensuring that the measured flatness is accurate. Additional modeling is performed to illustrate the most appropriate methods of characterizing the nonflatness of the electrostatic chuck. The results presented will aid in identifying modifications and clarifications that are needed in the Standards to facilitate the timely development of EUV lithography.
© (2007) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Roxann L. Engelstad, Kevin T. Turner, Madhura Nataraju, Jaewoong Sohn, Andrew R. Mikkelson, Venkata Siva Battula, Pradeep Vukkadala, Jacob R. Zeuske, and Chris K. Van Peski "Measuring and characterizing the nonflatness of EUVL reticles and electrostatic chucks", Proc. SPIE 6730, Photomask Technology 2007, 673014 (30 October 2007); https://doi.org/10.1117/12.746842
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Reticles

Photomasks

Interferometry

3D modeling

Standards development

Kinematics

RELATED CONTENT

Status of EUVL reticle chucking
Proceedings of SPIE (May 02 2008)
Electrostatic chucking of EUVL reticles
Proceedings of SPIE (March 15 2007)
Evaluation method of 0.15 to 0.25 um advanced reticle...
Proceedings of SPIE (August 25 1999)
The influence of an electrostatic pin chuck on EUV mask...
Proceedings of SPIE (December 06 2004)
Mechanical distortions in advanced optical reticles
Proceedings of SPIE (June 05 1998)

Back to Top