Paper
19 May 2008 Mask shot count reduction strategies in the OPC flow
Author Affiliations +
Abstract
Increasing pattern density and the higher complexity of advanced OPC and RET technologies have lead to an explosion in mask data volume. This increased data volume leads to increased mask write times, inspection times, and costs. In the past, several techniques for reducing the mask shot count have been proposed, including OPC fragment alignment, jog alignment, jog smoothing, and design intent-aware layout fragmentation among others. This paper will explore the tradeoffs between mask shot count and simulated print quality for various shot count reduction strategies.
© (2008) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
James Word, Keisuke Mizuuchi, Sai Fu, William Brown, and Emile Sahouria "Mask shot count reduction strategies in the OPC flow", Proc. SPIE 7028, Photomask and Next-Generation Lithography Mask Technology XV, 70283F (19 May 2008); https://doi.org/10.1117/12.799410
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications and 1 patent.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Optical proximity correction

Photomasks

Metals

Resolution enhancement technologies

Cadmium

Design for manufacturing

Tolerancing

Back to Top