Paper
11 May 2009 Investigation of EUV mask defectivity via full-field printing and inspection on wafer
Author Affiliations +
Abstract
Full-field printing on the ASML Alpha Demo Tool, followed by wafer inspection on a KLA-T 2800, is used to qualify typical defectivity levels of EUV reticles. Mask defects are found as repeaters among multiple dies on wafer. The uniform pattern consists of dense lines and spaces. In a first reticle with 40nm linewidth, high levels of natural defects have been found of which a relatively large share was considered as multilayer (ML) type defects, because they printed as rings. Simulation of ML defects could explain this printing behavior as a function of height, size and slope. The main parameter determining the printing behavior of a ML defect is its height. A local distortion of the upper part of the ML, as thin as ~2nm can already print. On-reticle analysis of the ring defects by SEM showed that the defects are present on the absorber, which already explains the printing result. Yet, still several other defects were found to print on the wafer, whereas they were not visible on the reticle and considered local distortions of the ML. Printing results with a second version of the mask that additionally includes programmed multilayer defects with 3nm height confirmed the pronounced printing impact of ML defects as they were simulated. Encouragingly low numbers of natural defects have been found on a third reticle. With this reticle also a first correlation has become possible between the defect maps obtained from wafer inspection, (direct) mask inspection, and blank inspection. This is a viable method to highlight potential gaps between the capability of these tools and printability of defects.
© (2009) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Rik Jonckheere, Dieter Van Den Heuvel, Fumio Iwamoto, Nickolay Stepanenko, Alan Myers, Matt Lamantia, Anne-Marie Goethals, Eric Hendrickx, and Kurt Ronse "Investigation of EUV mask defectivity via full-field printing and inspection on wafer", Proc. SPIE 7379, Photomask and Next-Generation Lithography Mask Technology XVI, 73790R (11 May 2009); https://doi.org/10.1117/12.824268
Lens.org Logo
CITATIONS
Cited by 13 scholarly publications and 1 patent.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Reticles

Photomasks

Semiconducting wafers

Printing

Inspection

Wafer inspection

Extreme ultraviolet

RELATED CONTENT

E beam based mask repair as door opener for defect...
Proceedings of SPIE (November 08 2012)
Repair of natural EUV reticle defects
Proceedings of SPIE (October 13 2011)
The door opener for EUV mask repair
Proceedings of SPIE (June 29 2012)
Closing the gap for EUV mask repair
Proceedings of SPIE (March 22 2012)

Back to Top