Paper
22 March 2010 The SEMATECH Berkeley MET pushing EUV development beyond 22nm half pitch
Patrick Naulleau, Christopher N. Anderson, Lorie-Mae Baclea-an, David Chan, Paul Denham, Simi George, Kenneth A. Goldberg, Brian Hoef, Gideon Jones, Chawon Koh, Bruno La Fontaine, Brittany McClinton, Ryan Miyakawa, Warren Montgomery, Seno Rekawa, Tom Wallow
Author Affiliations +
Abstract
Microfield exposure tools (METs) play a crucial role in the development of extreme ultraviolet (EUV) resists and masks. One of these tools is the SEMATECH Berkeley 0.3 numerical aperture (NA) MET. Using conventional illumination this tool is limited to approximately 22-nm half pitch resolution. However, resolution enhancement techniques have been used to push the patterning capabilities of this tool to half pitches of 18 nm and below. This resolution was achieved in a new imageable hardmask which also supports contact printing down to 22 nm with conventional illumination. Along with resolution, line-edge roughness is another crucial hurdle facing EUV resists. Much of the resist LER, however, can be attributed to the mask. We have shown that intenssionally aggressive mask cleaning on an older generation mask causes correlated LER in photoresist to increase from 3.4 nm to 4.0 nm. We have also shown that new generation EUV masks (100 pm of substrate roughness) can achieve correlated LER values of 1.1 nm, a 3× improvement over the correlated LER of older generation EUV masks (230 pm of substrate roughness). Finally, a 0.5-NA MET has been proposed that will address the needs of EUV development at the 16-nm node and beyond. The tool will support an ultimate resolution of 8 nm half-pitch and generalized printing using conventional illumination down to 12 nm half pitch.
© (2010) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Patrick Naulleau, Christopher N. Anderson, Lorie-Mae Baclea-an, David Chan, Paul Denham, Simi George, Kenneth A. Goldberg, Brian Hoef, Gideon Jones, Chawon Koh, Bruno La Fontaine, Brittany McClinton, Ryan Miyakawa, Warren Montgomery, Seno Rekawa, and Tom Wallow "The SEMATECH Berkeley MET pushing EUV development beyond 22nm half pitch", Proc. SPIE 7636, Extreme Ultraviolet (EUV) Lithography, 76361J (22 March 2010); https://doi.org/10.1117/12.848438
Lens.org Logo
CITATIONS
Cited by 17 scholarly publications and 1 patent.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Line edge roughness

Photomasks

Extreme ultraviolet

Printing

Phase shifts

Lithographic illumination

Extreme ultraviolet lithography

RELATED CONTENT


Back to Top