Paper
15 April 2011 Implementation of KrF DBARCs for implant applications on advanced lithography nodes
Joyce Lowes, Alice Guerrero, Michael Weigand, Carlton Washburn, Charlyn Stroud, Shalini Sharma, David Torres, Mark Slezak, Gary Dabbagh, Cherry Tang
Author Affiliations +
Abstract
Traditional implant layers are becoming increasingly complex in design and continuously pushing resolution limits lower. In response, developer-soluble bottom anti-reflective coatings (DBARCs) were introduced to meet these more challenging requirements. These DBARCs excelled over the traditional combination of single-layer resist and dyed resist/top anti-reflective coating (TARC). DBARCs offered the resolution and critical dimension (CD) control needed for the increasingly critical implant layers. Lithographic performance, focusing on CD control over topography and through-pitch behavior, demonstrated the inherent benefit of the DBARCs over the alternative solutions. Small-space residue testing showed the benefit of photosensitive (PS) DBARCs for cleanout of sub-100 nm trenches. A study of improved post-develop residue in various ion-implantation processes validated the use of new DBARC materials in implant layers.
© (2011) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Joyce Lowes, Alice Guerrero, Michael Weigand, Carlton Washburn, Charlyn Stroud, Shalini Sharma, David Torres, Mark Slezak, Gary Dabbagh, and Cherry Tang "Implementation of KrF DBARCs for implant applications on advanced lithography nodes", Proc. SPIE 7972, Advances in Resist Materials and Processing Technology XXVIII, 797227 (15 April 2011); https://doi.org/10.1117/12.879464
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Picosecond phenomena

Photoresist materials

Lithography

Semiconducting wafers

Critical dimension metrology

Photoresist developing

Polymers

Back to Top