Paper
22 March 2011 Enhancing fullchip ILT mask synthesis capability for IC manufacturability
Author Affiliations +
Abstract
It is well known in the industry that the technology nodes from 30nm and below will require model based SRAF / OPC for critical layers to meet production required process windows. Since the seminal paper by Saleh and Sayegh[1][2] thirty years ago, the idea of using inverse methods to solve mask layout problems has been receiving increasing attention as design sizes have been steadily shrinking. ILT in its present form represents an attempt to construct the inverse solution to a constrained problem where the constraints are all possible phenomena which can be simulated, including: DOF, sidelobes, MRC, MEEF, EL, shot-count, and other effects. Given current manufacturing constraints and process window requirements, inverse solutions must use all possible degrees of freedom to synthesize a mask. Various forms of inverse solutions differ greatly with respect to lithographic performance and mask complexity. Factors responsible for their differences include composition of the cost function that is minimized, constraints applied during optimization to ensure MRC compliance and limit complexity, and the data structure used to represent mask patterns. In this paper we describe the level set method to represent mask patterns, which allows the necessary degrees of freedom for required lithographic performance, and show how to derive Manhattan mask patterns from it, which can be manufactured with controllable complexity and limited shot-counts. We will demonstrate how full chip ILT masks can control e-beam write-time to the level comparable to traditional OPC masks, providing a solution with maximized lithographic performance and manageable cost of ownership that is vital to sub-30nm node IC manufacturing.
© (2011) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Thomas Cecil, Chris Ashton, David Irby, Lan Luan, D. H. Son, Guangming Xiao, Xin Zhou, David Kim, Bob Gleason, H. J. Lee, W. J. Sim, M. J. Hong, S. G. Jung, S. S. Suh, and S. W. Lee "Enhancing fullchip ILT mask synthesis capability for IC manufacturability", Proc. SPIE 7973, Optical Microlithography XXIV, 79731C (22 March 2011); https://doi.org/10.1117/12.882814
Lens.org Logo
CITATIONS
Cited by 9 scholarly publications and 1 patent.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Lithography

Optical proximity correction

Manufacturing

SRAF

Image segmentation

Inverse problems

RELATED CONTENT


Back to Top