Paper
21 March 2012 Multiple columns for high-throughput complementary e-beam lithography (CEBL)
Enden D. Liu, Cong Tran, Ted Prescop, David K. Lam
Author Affiliations +
Abstract
Developers of e-beam lithography systems are pursuing diverse strategies to bolster throughput. To achieve parallelism, some e-beam efforts focus on building multiple-columns, and others focus on developing columns with multiple beamlets. In this paper, we discuss the benefits and throughput of a multiple column approach for a particular application: Complementary E-Beam Lithography (CEBL). CEBL is a novel approach where the e-beam lithography system is used only to pattern the smallest features. Everything else is patterned with existing optical lithography equipment. By working hand-in-hand with optical lithography, CEBL provides an urgently needed solution to create next-generation microchips. Moreover, CEBL is extendable for multiple technology generations. We show how a multiple column approach is the best way to meet the requirements for CEBL, including high throughput, high resolution and overlay accuracy, without excess complexity or cost.
© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Enden D. Liu, Cong Tran, Ted Prescop, and David K. Lam "Multiple columns for high-throughput complementary e-beam lithography (CEBL)", Proc. SPIE 8323, Alternative Lithographic Technologies IV, 83231Y (21 March 2012); https://doi.org/10.1117/12.916118
Lens.org Logo
CITATIONS
Cited by 6 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Semiconducting wafers

Electron beam lithography

Polymethylmethacrylate

Beam shaping

Lithography

Electron beams

Etching

Back to Top