Paper
5 April 2012 Mechanism of photoresist shrinkage investigated by single-line scan of electron beam
Takeyoshi Ohashi, Hiroaki Oizumi, Junichi Tanaka, Hiroki Kawada
Author Affiliations +
Abstract
Shrinkage behavior caused by a single-line scan of an electron beam over a photoresist line was studied, including shrinkage distribution in the photoresist-line direction. As single-line scan is the minimum unit of controllable electron-beam irradiation during scanning-electron-microscope-image (SEM-image) processing, the minimum amount of shrinkage should be observed in the condition. A new method for evaluating the minute amount shrinkage and the shrinkage distribution caused by a single-line scan was developed. According to the results of evaluations with this method, the shrinkage of a 50-nm-wide photoresist line caused by a single-line scan is less than 0.1 nm under landing energies of 200, 300, and 500 eV and probe current of 8 pA. This shrinkage is more than ten times smaller than the typical amount of shrinkage caused by a standard two-dimensional scan. This result indicates the possibility of a significant reduction of photoresist shrinkage during SEM measurements. The evaluations also show that the shrinkage caused by a single-line scan distributes more than about 30 nm in the photoresist-line direction, which is wider than the simulated electron-scattering range. Moreover, the evaluations show that the shrinkage distribution is narrower at higher position of the photoresist-line. This tendency suggests that the wide shrinkage-distribution does not stem from the distribution of the back-scattered electrons (BSEs) which enter the side wall of the photoresist line from the spaces nearby, because the incidents of BSEs distribute wider at higher position of the photoresist-line. Hence, shrinkage occurs in a wider region of the photoresist line than the region where electrons (including directly incident electrons and BSEs) reach. This result suggests that in order to interpret the photoresist-shrinkage mechanism it is important to clarify how the microscopic volume-reduction caused by electron-molecule interactions is integrated into macroscopic photoresist-pattern deformation. An elastic deformation is a plausible mechanism for this macroscopic photoresist-shrinkage process.
© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Takeyoshi Ohashi, Hiroaki Oizumi, Junichi Tanaka, and Hiroki Kawada "Mechanism of photoresist shrinkage investigated by single-line scan of electron beam", Proc. SPIE 8324, Metrology, Inspection, and Process Control for Microlithography XXVI, 83242U (5 April 2012); https://doi.org/10.1117/12.916269
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photoresist materials

Scanning electron microscopy

Electron beams

Solids

Cadmium

Monte Carlo methods

Lithography

Back to Top