Paper
29 June 2012 Novel MRC algorithms using GPGPU
Kokoro Kato, Yoshiyuki Taniguchi, Tadao Inoue, Kazuya Kadota
Author Affiliations +
Abstract
GPGPU (General Purpose Graphic Processor Unit) has been attracting many engineers and scientists who develop their own software for massive numerical computation. With hundreds of core-processors and tens of thousands of threads operating concurrently, GPGPU programs can run significantly fast if their software architecture is well optimized. The basic program model used in GPGPU is SIMD (Single Instruction Multiple Data stream), and one must adapt his programming model to SIMD. However, conditional branching is fundamentally not allowed in SIMD and this limitation is quite challenging to apply GPGPU to photomask related software such as MDP or MRC. In this paper unique methods are proposed to utilize GPU for MRC operation. We explain novel algorithms of mask layout verification by GPGPU.
© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Kokoro Kato, Yoshiyuki Taniguchi, Tadao Inoue, and Kazuya Kadota "Novel MRC algorithms using GPGPU", Proc. SPIE 8441, Photomask and Next-Generation Lithography Mask Technology XIX, 84410R (29 June 2012); https://doi.org/10.1117/12.970255
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Raster graphics

Software development

Data modeling

Computer architecture

Computer programming

Algorithm development

RELATED CONTENT

Optimizing threshold for extreme scale analysis
Proceedings of SPIE (February 04 2013)
A novel full chip process window OPC based on matrix...
Proceedings of SPIE (March 15 2016)
C to VHDL compiler
Proceedings of SPIE (September 14 2010)

Back to Top