Paper
8 November 2012 Correcting image placement errors using registration control (RegC®) technology in the photomask periphery
Author Affiliations +
Abstract
The ITRS roadmap specifies wafer overlay control as one of the major tasks for the sub 40 nm nodes in addition to CD control and defect control. Wafer overlay is strongly dependent on mask image placement error (registration errors or Reg errors)1. The specifications for registration or mask placement accuracy are significantly tighter in some of the double patterning techniques (DPT). This puts a heavy challenge on mask manufacturers (mask shops) to comply with advanced node registration specifications. The conventional methods of feeding back the systematic registration error to the E-beam writer and re-writing the mask are becoming difficult, expensive and not sufficient for the advanced nodes especially for double pattering technologies.

Six production masks were measured on a standard registration metrology tool and the registration errors were calculated and plotted. Specially developed algorithm along with the RegC Wizard (dedicated software) was used to compute a correction lateral strain field that would minimize the registration errors. This strain field was then implemented in the photomask bulk material using an ultra short pulse laser based system. Finally the post process registration error maps were measured and the resulting residual registration error field with and without scale and orthogonal errors removal was calculated.

In this paper we present a robust process flow in the mask shop which leads up to 32% registration 3sigma improvement, bringing some out-of-spec masks into spec, utilizing the RegC® process in the photomask periphery while leaving the exposure field optically unaffected.
© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Avi Cohen, Falk Lange, Guy Ben-Zvi, Erez Graitzer, and Dmitriev Vladimir "Correcting image placement errors using registration control (RegC®) technology in the photomask periphery", Proc. SPIE 8522, Photomask Technology 2012, 85220D (8 November 2012); https://doi.org/10.1117/12.980258
Lens.org Logo
CITATIONS
Cited by 4 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Image registration

Scanners

Semiconducting wafers

Manufacturing

Metrology

Overlay metrology

Back to Top