Paper
18 April 2013 Performance of ASML YieldStar μDBO overlay targets for advanced lithography nodes C028 and C014 overlay process control
Yoann Blancquaert, Christophe Dezauzier, Jerome Depre, Mohamed Miqyass, Jan Beltman
Author Affiliations +
Abstract
Continued tightening of overlay control budget in semiconductor lithography drives the need for improved metrology capabilities. Aggressive improvements are needed for overlay metrology speed, accuracy and precision. This paper is dealing with the on product metrology results of a scatterometry based platform showing excellent production results on resolution, precision, and tool matching for overlay. We will demonstrate point to point matching between tool generations as well as between target sizes and types. Nowadays, for the advanced process nodes a lot of information is needed (Higher order process correction, Reticle fingerprint, wafer edge effects) to quantify process overlay. For that purpose various overlay sampling schemes are evaluated: ultra- dense, dense and production type. We will show DBO results from multiple target type and shape for on product overlay control for current and future node down to at least 14 nm node. As overlay requirements drive metrology needs, we will evaluate if the new metrology platform meets the overlay requirements.
© (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Yoann Blancquaert, Christophe Dezauzier, Jerome Depre, Mohamed Miqyass, and Jan Beltman "Performance of ASML YieldStar μDBO overlay targets for advanced lithography nodes C028 and C014 overlay process control", Proc. SPIE 8681, Metrology, Inspection, and Process Control for Microlithography XXVII, 86811F (18 April 2013); https://doi.org/10.1117/12.2011406
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications and 2 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Semiconducting wafers

Overlay metrology

Metrology

Metals

Process modeling

Lithography

Process control

Back to Top