Paper
3 October 2013 Impact of an etched EUV mask black border on imaging: part II
Natalia Davydova, Robert de Kruif, Hiroaki Morimoto, Yo Sakata, Jun Kotani, Norihito Fukugami, Shinpei Kondo, Tomohiro Imoto, Brid Connolly, Dries van Gestel, Dorothe Oorschot, David Rio, John Zimmerman, Noreen Harned
Author Affiliations +
Abstract
The image border is a pattern free dark area around the die on the photomask serving as transition area between the parts of the mask that is shielded from the exposure light by the Reticle Masking (ReMa) blades and the die. When printing a die at dense spacing on an EUV scanner, the reflection from its image border overlaps with the edges of neighboring dies affecting CD and contrast in this area. This is related to the fact that EUV absorber stack has 1-3% reflectance for actinic light. For a 55nm thick absorber the induced CD drop at the edges is found to be 4-5 nm for 27 nm dense lines. In this work we will show an overview of the absorber reflection impact on CD at the edge of the field across EUV scanner generations, for several imaging nodes and multiple absorber heights. Increasing spacing between dies on the wafer would prevent the unwanted exposure but results in an unacceptable loss of valuable wafer real estate thereby reducing the yield per wafer and is thus not a viable manufacturing solution. In order to mitigate the reflection from the image border one needs to create a so called black border. The most promising approach is removal of the absorber and the underlying multilayer down to the low reflective LTEM substrate by multilayer etching. It was shown in the previous study that the impact on CD was reduced essentially for 27 nm dense lines exposed on ASML NXE:3100. In this work we will continue the study of a multilayer etched black border impact on imaging. In particular, 22 nm lines/spaces imaging on ASML NXE:3300 EUV scanner will be investigated in the areas close to the black border as well as die to die effects. We will look closer into the CD uniformity impact by DUV Out-of-Band light reflected from black border and its mitigation. A possible OPC approach will also be evaluated.
© (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Natalia Davydova, Robert de Kruif, Hiroaki Morimoto, Yo Sakata, Jun Kotani, Norihito Fukugami, Shinpei Kondo, Tomohiro Imoto, Brid Connolly, Dries van Gestel, Dorothe Oorschot, David Rio, John Zimmerman, and Noreen Harned "Impact of an etched EUV mask black border on imaging: part II", Proc. SPIE 8880, Photomask Technology 2013, 888027 (3 October 2013); https://doi.org/10.1117/12.2027596
Lens.org Logo
CITATIONS
Cited by 9 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Deep ultraviolet

Reflectivity

Reticles

Extreme ultraviolet

Semiconducting wafers

Scanners

Extreme ultraviolet lithography

RELATED CONTENT


Back to Top