Open Access Paper
2 April 2014 9nm node wafer defect inspection using visible light
Author Affiliations +
Abstract
Over the past 2 years, we have developed a common optical-path, 532 nm laser epi-illumination diffraction phase microscope (epi-DPM) and successfully applied it to detect different types of defects down to 20 by 100 nm in a 22nm node intentional defect array (IDA) wafer. An image post-processing method called 2DISC, using image frame 2nd order differential, image stitching, and convolution, was used to significantly improve sensitivity of the measured images. To address 9nm node IDA wafer inspection, we updated our system with a highly stable 405 nm diode laser. By using the 2DISC method, we detected parallel bridge defects in the 9nm node wafer. To further enhance detectability, we are exploring 3D wafer scanning, white-light illumination, and dark-field inspection.

1.

INTRODUCTION

Last year, 22nm node computer chips were launched by Intel, which have significant performance improvement over their 32nm node predecessor. Continuing efforts are being made on lithography [1], and denser patterns with node sizes shrinking down to 10 nm will be possible around 2017, according to the International Technology Roadmap for Semiconductors (ITRS). This creates a critical challenge for optical defect inspection, see a discussion in reference [2].

Recently, a lot of effort has been devoted to developing ultra-violet (UV), deep UV, or high-order harmonic laser sources [3-5], to improve the resolution of the optical inspection system. However, the ultimate limiting factor for defect detection is the system noise and the sparsity of the pattern, not the resolution of the microscope [6-9]. In the past two years, we have developed a very low noise and highly sensitive optical metrology tool. This tool is based on a 532 nm laser epi-DPM system. Epi-DPM is a common optical-path digital holography microscope, which has demonstrated nanometer axial sensitivity [10, 11]. Using this system, we successfully demonstrated detection of different types of defects down to 20 nm wide by 100 nm long or similar in a 22nm node IDA wafer [12-14]. We retrieved both the phase and amplitude of the reflected light from the wafer surface and used a comprehensive image post-processing method to extract the wafer defect signal. The processing method is called 2DISC, which is a combination of producing a 2nd order difference of transverse scan image frames, image stitching, and defect pattern convolution. For a detailed description, see reference [13].

Recently, we adapted the system to inspect a 9nm node IDA wafer. This wafer has defect sizes that are only 10 nm wide (40% the linewidth of the 22nm node IDA wafer). Further, the pattern is more than 2x denser than the 22nm node IDA wafer [5]. Thus, in order to detect defects in this 9nm node wafer, the system’s sensitivity needed to be enhanced tremendously. We replaced our 532 nm solid state laser with a 405 nm diode laser which has a 10x better power stability and inserted a 405 nm narrow-band filter in front of the camera. With the 2DISC method, we detected parallel bridge defects in the 9nm node wafer in both phase and amplitude images at optimum polarization and focus.

To further enhance our system’s sensitivity and thereby improve the defect detectability, we are exploring several other approaches. One approach is using an inverse filter to high pass the image at the Fourier plane to remove laser speckle noise. In this way, the CCD camera’s full dynamic range can be used for the wafer signal. Our results from measuring a parallel bridge defect shows about 50% sensitivity improvement compared to bright field. The second approach uses a z-scan to produce a 3-dimensional (3D) wafer image. Our z-scan measurements use a white light illumination system. From the 2nd order differential z-stack images, the defect signal can be clearly identified from the cross-section planes. The third approach is using a spatial light modulator to selectively filter the image signal, so that we can maintain the defect signal and suppress the wafer underlying structure. We have carried Fourier spectrum simulations on the 22nm node IDA wafer and the 9-nm node IDA wafer. The simulation results can guide us on designing the optimum spatial light modulator (SLM) based filter. We also simulated optical images and compared them with our experimental results. This comparison will be useful for studying the noise and estimating our detection detectability limit.

2.

9NM NODE IDA WAFER PATTERN

The 9nm node IDA wafer design consists of one type of line structure which has 9 nm by 270 nm size. However, the actually width of line could be 15 nm due to imperfections in the fabrication process. The rectangular unit cell is 360 nm by 240 nm and contains 2 lines. It is repeated in a rhombic lattice pattern to form a 2-dimensional array. Deferent types of intentional defects are printed to the wafer pattern for inspection testing. In Fig. 1, we draw the wafer pattern with different defects. The pattern’s dimensional information is obtained from reference [5]. Figures 1(a)-(c) are illustrations of a parallel bridge defect, a perpendicular bridge defect, and an isolated dot defect. The wafer patterns are later used for our dark-field inspection simulation study.

Figure 1.

Illustration of the 9nm node IDA wafer structure and its defect. (a) is a paralleled bridge defect; (b) is a perpendicular bridge defect; (c) is an isolated defect. The unit cell is 360 nm in the horizontal direction and 240 nm in the vertical direction.

00033_psisdg9050_905017_page_2_1.jpg

3.

405 NM LASER EPI-DPM AND 2DISC METHOD

The 405 nm laser was tested and shown to have 10x better power stability compared with our previous 532 nm laser. Thus, we used the 405 nm laser in the epi-DPM system for the 9nm node wafer defect inspection. During the inspection process, we first collect a sequence of interferograms in the wafer, by translating the wafer horizontally in the direction parallel to its line structure, with an adjacent frame translation step of 0.75 μm. Then, a phase and an amplitude image are retrieved for each interferogram. In the following, we will use the phase images from a parallel bridge defect array, which contains a defect with about 15 nm by 90 nm size to show the image post-processing process for defect detection; more details on the processing is described in reference [13]. The exact size will be verified later with scanning electron microscopy (SEM). We will discuss the phase images; note that amplitude images have similar performance. From a single phase image, it is impossible to discern the defect due to the residual noise. In order to remove the noise, we decompose the noise into two components: time-variant and time-invariant. The time-invariant noise is mainly from the laser speckles, and it is invariant to translating the sample in plane. By calculating an image frame difference, we can almost completely remove this type of noise. We use the 2nd order frame difference which is defined as: Fn (x, y) − 2 × Fn–1 (x, y) + Fn2 (x, y), since it yields better signal contract compared with the 1st order. A sequence of image frames is produced from the 2nd order frame difference. The time-variant noise can be reduced by time-averaging. We perform this averaging through an image stitching process, which uses all of the 2nd order difference image frames to produce a panoramic image. In this way, we not only suppressed the noise but also achieve a larger field of view. Figure 2(a) is the panoramic 2nd order difference phase image. In this image, we can see the intentional defect at the center of the image. Due to the 2nd difference process, the defect signal will have a tripole pattern as: 1 -2 1, with a separation of 0.75 μm. Thus, in order to extract the defect signal, we convolve the image with a matched tripole pattern, which takes into account the point spread function of the system. After the convolution, we obtain the final detection image in Fig. 2(b), which we call the 2DISC image. Now we can see the defect signal contrast has improved compared with Fig. 2(a).

Figure 2.

2DISC phase image using 405 nm laser epi-DPM for detecting a parallel bridge defect. (a) is the panoramic 2nd order difference phase image; (b) is the image after convolution with the tripole pattern. The defect is marked by a red rectangle.

00033_psisdg9050_905017_page_3_1.jpg

4.

INSPECTION WITH INVERSE-FILTER

To further improve the sensitivity, several approaches were explored. One approach is dark field imaging, which is suitable for detecting edge defects. For our preliminary demonstration, we put an inverse pinhole filter in the Fourier plane of the image signal to filter out the laser speckle pattern. This filter may not be optimum, and we will discuss the optimum filter design in Section 6 using an SLM filter. Here, we perform dark-field imaging to enhance the sensitivity of the 2DISC method for the 15 nm by 90 nm parallel bridge defect array. The inverse pinhole filter consists of a clear quartz slide with an opaque chrome region that has a 50 μm diameter. This filter is placed in a Fourier plane of the microscope and blocks the unscattered light, which thereby allows the camera’s full dynamic range to be used to measure the scattered signal. For simplicity, only intensity images are recorded and we use them to produce the 2DISC amplitude image. In this simplified configuration, no interferograms are formed and we do not recover phase images. Figure 3(a) is the dark-field panoramic 2nd order difference amplitude image, and Figure 3(b) is the image after convolution with the tripole pattern, i.e., 2DISC image. In both images, we clearly see the intentional defect at the center. In addition, we also observed several other unintentional defects, indicating that this is a potentially more sensitive technique than bright field. This inference will need to be verified with SEM in the future. For comparison, we also produced bright field image in Figures 3 (c) and (d), in which we could only clearly observe the center intentional defect and one unintentional defect indicated by the red arrow, but not the one indicated by the black arrow. To study the sensitivity improvement in the 2DISC image, we define a quantity as: γ=|Dmax-Dmin|region1/|Dmax-Dmin|region2, which is the defect tripole pattern contrast ratio between the unintentional defect indicated by the black box and the central intentional defect. Dmax and Dmin are the maximum and minimum signal in a 4 μm by 4 μm rectangle around the defect. Region1 is marked by the black box and region2 is marked by the red box. The γ value is found to be 0.81 for dark field, whereas 0.53 bright field. This means that in the dark-field image, the unintentional defect produces signal strength comparable to the intentional defect. However, in the bright field image, the unintentional defect produces only half of the signal comparable to the intentional defect. This indicates that we can potentially detect more defects in the dark-field. Again, this needs to be verified by SEM in the future.

Figure 3.

Dark-field 2DISC amplitude image for detecting a parallel bridge defect. (a) is the dark-field panoramic 2nd order difference amplitude image; (b) is image (a) after convolution with the tripole pattern; (c) is the bright panoramic 2nd order difference amplitude image; (d) is image (c) after convolution with the tripole pattern. In the image, the defect is marked by a red rectangle, and in each image two unintentional defects are marked by a red arrow and a black arrow, respectively.

00033_psisdg9050_905017_page_4_1.jpg

5.

INSPECTION WITH 3D WAFER SCAN

A second approach to improve detectability is using z-scans to produce a 3D wafer image. Performing z-scans is expected to significantly improve the sensitivity. This through-focus scanning method has been previously demonstrated by Barnes et al at NIST using a 193 nm source [5]. Here we perform z-scans under a white light illumination system which covers the spectrum from 400 nm to 1100nm. For each defect array, we collect 3 z-scan stacks around the center of the array (i.e. where the defect is located). The 3 z-scans are taken with the IDA sample translated by 1 μm steps in the x-direction, i.e. horizontally. Then, we produced a 2nd order differential image in x for each z step in order to get a 2nd order x-difference z-stack. To visualize the 3D data, we show cuts at the defect position at three different planes, i.e. z=0 plane (Fig. 4(a)), x=0 plane (Fig. 4(b)), and y=0 plane (Fig. 4(c)), respectively. Especially in Fig. 4(b) and (c), the defect has produced a predominant signal with a unique feature. Thus, by recognizing the defect’s 3D features, we can extract the defect from the wafer’s underlying structure. This recognition can be achieved by a 3D defect pattern convolution.

Figure 4.

2nd order x-difference image in 3D using z-scan. (a) is the z=0 plane image, (b) is the y=0 plane image, and (c) is the x=0 plane image. The planes are cut at the defect location. The defect is marked by a red circle.

00033_psisdg9050_905017_page_4_2.jpg

6.

DARK-FIELD INSPECTION SIMULATION

A physical inverse pinhole filter is not ideal for dark-field inspection, since it cannot be easily aligned and optimized to match the wafer pattern or defect. Thus, we explore an alternative approach of using a projector based SLM to make the optimum filter for dark-field inspection. In this section, we show the simulation results for dark-field inspection. The simulations will help us to design our dark-filed SLM filter in the future. We first simulate the Fourier plane spectrum signal for a 22nm node wafer under a numerical aperture NA=0.9 objective. This wafer has a 0.8 μm x 0.8 μm unit cell arrayed in a rhombic pattern, see SEM images in reference [13] for more details. The Fourier spectrum of a defect free array is plotted on a log scale in Fig. 5(a). The Fourier spectrum of a 34 nm by 160 nm parallel bridge defect array is plotted in Fig. 5(b). The spectrum difference, i.e., the defect signal, is computed on a linear scale and then converted to a log scale and plotted in Fig. 5(c). As we can see from Figs. 5(a) and (b), most of the wafer underlying structure is in a few bright dot regions corresponding to the translational symmetries of the wafer structure whereas the defect signal covers a broad region about the center. Thus, we can design our filter to just block those dot regions and maintain the defect signal. Note that, there will also be a strong spectrum spot near the origin which is due the direct reflection from the wafer and the laser speckle noise. Thus, the SLM filter needs to also block the central region spot.

Figure 5.

Dark-field inspection simulation in the 22nm node IDA wafer for a 34 nm by 160 nm parallel bridge defect. (a) is the Fourier spectrum of the defect free array; (b) is Fourier spectrum of the parallel bridge defect array; (c) is their Fourier spectrum difference which is the defect signal spectrum. The plots are in logarithm scale. (d) and (e) are the simulated 2nd difference image and tripole convolution image.

00033_psisdg9050_905017_page_5_1.jpg

To study the noise and underlying pattern’s effect on detection sensitivity, we also simulate 2nd difference images and tripole convolution image, i.e., 2DISC image. The images simulated assume no noise, but we define a parameter called peak-signal-to-noise-ratio (PSNR) to address the noise factor, which is defined as:

00033_psisdg9050_905017_page_6_1.jpg

where |Dmax−Dmin| is the defect contrast in the 4 μm by 4 μm defect region, σi is the standard deviation of the image, and σn is the standard deviation of the noise. |DmaxDmin | and σi can be extracted from the simulation but σn can be obtained from experimental results. Note that our simulation do not include polarization effects, thus we lowered the experimental value of PSNR to obtain reasonable σn values for the 2nd difference image and tripole coevolution image. For the 2nd difference image in Figure 5(d), the ratio of σn/σi is 0.62 and the PSNR is 11dB. And for the tripole convolution image in Fig. 5(e), the ratio of σn/σi is 0.34 and the PSNR is 22 dB. As demonstrated experimentally, when PSNR is > 15dB, we have good detectability. Thus, the simulation show that we can detect defects very well in the tripole convolution image. However, if we can also use our dark-field filter to block the wafer’s underlying structure, i.e., making σi ≈ 0, then even the 2nd difference image may be good enough to detect defects in the 22nm node wafer.

As the wafer line structure shrinks down from the 22nm to 9nm node, σi becomes an order of magnitude smaller. This is much smaller than σn, the noise coming from the microscope system, which then becomes the limiting factor. In Fig. 6, we show a similar simulation for the 9nm node wafer with geometry defined in Section 2. Figures 6(a) and (b) are the Fourier spectrum for the defect free array pattern and the 15 nm by 90 nm bridge defect array pattern, respectively. From both images, we see that most of the underlying wafer structure’s periodic signal cannot be captured by the optical system due to diffraction limit. Only small portions are left near the north and south poles. Figure 6(c) is the difference spectrum which is due to the defect. Figure 6(d) and (e) are the 2nd difference image and tripole convolution image. For the PSNR calculation, we use σn value from our previous 532 nm laser system. As expected, the underlying structure and the defect signal become much weaker, i.e., σi and |DmaxDmin | are very small. Thus, the PSNR values are well below our detection limit. For the 2nd difference image, the ratio of σni is 46.5 and the PSNR is -12 dB, and for the tripole convolution image, the ratio of σn/σi is 15.1 and the PSNR is only 4.7 dB. However, our 405 nm laser is 10x better in power stability compared with 532 nm laser. Thus, the σn will be much smaller, and the PSNR can be above 15dB for the tripole convolution image. This explains why we were able to detect defects experimentally in the 9nm node wafer as was described in Section 2.

Figure 6.

Dark-field inspection simulation in the 9nm node IDA wafer for a 15 nm by 90 nm parallel bridge defect. (a) is the Fourier spectrum of the defect free array; (b) is Fourier spectrum of the parallel bridge defect array; (c) is their Fourier spectrum difference which is the defect signal spectrum. The plots are in logarithm scale. (d) and (e) are the simulated 2nd difference image and tripole convolution image.

00033_psisdg9050_905017_page_6_2.jpg

7.

SUMMARY

We presented our current progress on inspecting defects on a 9nm node dense wafer. Several approaches have been proposed and explored including the 2DISC method with the 405 nm laser epi-DPM system, 3D wafer scanning with white-light, and dark-field inspection. In the future, we plan to incorporate epi-DPM, dark-field, and 3D scanning for 9nm node wafer inspection. We are currently building a system with all the proposed capabilities in a clean-room environment. In the future, depending on the application, we can selectively illuminate the wafer with either a 405nm laser or a white light source. We believe that these improvements in our wafer inspection system will enable us to detect additional defect types (beyond parallel bridge) in the 9nm node IDA wafer.

ACKNOWLEDGMENTS

The research is supported by Semiconductor Research Corporation. In addition, RZ acknowledges support from the Arnold and Mabel Beckman Foundation through its graduate fellowship program. The authors express gratitude to SEMATECH for providing the IDA wafers, and for the helpful discussions with the Photonic Systems Laboratory and Quantitative Light Imaging Laboratory group members.

REFERENCES

[1] 

Wagner, C. and Harned, N., “EUV LITHOGRAPHY Lithography gets extreme,” Nat Photonics, 4 24 –26 (2010). https://doi.org/10.1038/nphoton.2009.251 Google Scholar

[2] 

Crimmins, T.F., “Defect metrology challenges at the 11-nm node and beyond,” in Proc. SPIE, 76380H (2010). Google Scholar

[3] 

Seaberg, M.D., Adams, D.E., Townsend, E.L., Raymondson, D.A., Schlotter, W.F., Liu, Y.W., Menoni, C.S., Rong, L., Chen, C.C., Miao, J.W., Kapteyn, H.C. and Murnane, M.M., “Ultrahigh 22 nm resolution coherent diffractive imaging using a desktop 13 nm high harmonic source,” Optics Express, 19 22470 –22479 (2011). https://doi.org/10.1364/OE.19.022470 Google Scholar

[4] 

Barnes, B.M., Sohn, Y.-J., Goasmat, F., Zhou, H., Silver, R.M. and Arceo, A., “Scatterfield microscopy of 22-nm node patterned defects using visible and DUV light,” in Proc. SPIE, 83240F (2012). Google Scholar

[5] 

Barnes, B.M., Goasmat, F., Sohn, M.Y., Zhou, H., Silver, R.M. and Arceo, A., “Enhancing 9 nm node dense patterned defect optical inspection using polarization, angle, and focus,” in Proc. SPIE, 86810E (2013). Google Scholar

[6] 

Min, W., Freudiger, C.W., Lu, S.J. and Xie, X.S., “Coherent Nonlinear Optical Imaging: Beyond Fluorescence Microscopy,” Annual Review of Physical Chemistry, 62 507 –530 (2011). https://doi.org/10.1146/annurev.physchem.012809.103512 Google Scholar

[7] 

Mudanyali, O., Mcleod, E., Luo, W., Greenbaum, A., Coskun, A.F., Hennequin, Y., Allier, C.P. and Ozcan, A., “Wide-field optical detection of nanoparticles using on-chip microscopy and self-assembled nanolenses,” Nat Photon, 7 240 –247 (2013). Google Scholar

[8] 

Kner, P., Chhun, B.B., Griffis, E.R., Winoto, L. and Gustafsson, M.G.L., “Super-resolution video microscopy of live cells by structured illumination,” Nature Methods, 6 339 –U336 (2009). https://doi.org/10.1038/nmeth.1324 Google Scholar

[9] 

Narimanov, E.E., CLEO: 2013, QW3A. 7 Optical Society of America, San Jose, California (2013). Google Scholar

[10] 

Popescu, G., Ikeda, T., Dasari, R.R. and Feld, M.S., “Diffraction phase microscopy for quantifying cell structure and dynamics,” Opt Lett, 31 775 –777 (2006). https://doi.org/10.1364/OL.31.000775 Google Scholar

[11] 

C. Edwards, A. Arbabi, G. Popescu, and L.L. Goddard, “Optically monitoring and controlling nanoscale topography during semiconductor etching,” Light-Sci Appl, 1 e30 (2012). https://doi.org/10.1038/lsa.2012.30 Google Scholar

[12] 

Zhou, R., Popescu, G. and Goddard, L.L., “22 nm node wafer inspection using diffraction phase microscopy and image post-processing,” in Proc. SPIE, 86810G (2013). Google Scholar

[13] 

Zhou, R., Edwards, C., Arbabi, A., Popescu, G. and Goddard, L.L., “Detecting 20 nm Wide Defects in Large Area Nanopatterns Using Optical Interferometric Microscopy,” Nano Lett, 13 3716 –3721 (2013). https://doi.org/10.1021/nl401622b Google Scholar

[14] 

Zhou, R., Popescu, G. and Goddard, L.L., CLEO: 2013, AF2J. 2 Optical Society of America, San Jose, California (2013). Google Scholar
© (2014) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Renjie Zhou, Chris Edwards, Gabriel Popescu, and Lynford L. Goddard "9nm node wafer defect inspection using visible light", Proc. SPIE 9050, Metrology, Inspection, and Process Control for Microlithography XXVIII, 905017 (2 April 2014); https://doi.org/10.1117/12.2046451
Lens.org Logo
CITATIONS
Cited by 6 scholarly publications and 1 patent.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Semiconducting wafers

Bridges

Inspection

Convolution

Defect detection

Optical filters

Image processing

Back to Top