Open Access Paper
13 May 2014 Front Matter: Volume 9050
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 9050, including the Title Page, Copyright Information, Table of Contents, and the Conference Committee listing.

The papers included in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. The papers published in these proceedings reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from this book:

Author(s), “Title of Paper,” in Metrology, Inspection, and Process Control for Microlithography XXVIII, edited by Jason P. Cain, Martha I. Sanchez, Proceedings of SPIE Vol. 9050 (SPIE, Bellingham, WA, 2014) Article CID Number.

ISSN: 0277-786X

ISBN: 9780819499738

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445

SPIE.org

Copyright © 2014, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/14/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00001_psisdg9050_905001_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model, with papers published first online and then in print and on CD-ROM. Papers are published as they are submitted and meet publication criteria. A unique, consistent, permanent citation identifier (CID) number is assigned to each article at the time of the first publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online, print, and electronic versions of the publication. SPIE uses a six-digit CID article numbering system in which:

  • The first four digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc.

The CID Number appears on each page of the manuscript. The complete citation is used on the first page, and an abbreviated version on subsequent pages. Numbers in the index correspond to the last two digits of the six-digit CID Number.

Conference Committee

Symposium Chair

  • Harry J. Levinson, GLOBALFOUNDRIES Inc. (United States)

Symposium Co-chair

  • Mircea V. Dusa, ASML US, Inc. (United States)

Conference Chair

  • Jason P. Cain, Advanced Micro Devices, Inc. (United States)

Conference Co-chair

  • Martha I. Sanchez, IBM Research - Almaden (United States)

Conference Program Committee

  • Ofer Adan, Applied Materials (Israel)

  • John A. Allgair, GLOBALFOUNDRIES Inc. (United States)

  • Masafumi Asano, Toshiba Corporation (Japan)

  • Benjamin D. Bunday, SEMATECH Inc. (United States)

  • Alek C. Chen, ASML Taiwan Ltd. (Taiwan)

  • Shaunee Y. Cheng, IMEC (Belgium)

  • Timothy F. Crimmins, Intel Corporation (United States)

  • Daniel J. C. Herr, The University of North Carolina at Greensboro (United States)

  • Chih-Ming Ke, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan)

  • Shunsuke Koshihara, Hitachi High-Technologies Corporation (Japan)

  • Yi-Sha Ku, Industrial Technology Research Institute (Taiwan)

  • Byoung-Ho Lee, Ultratech (United States)

  • Christopher J. Raymond, Nanometrics Inc. (United States)

  • John C. Robinson, KLA-Tencor Corporation (United States)

  • Matthew J. Sendelbach, Nova Measuring Instruments Inc. (United States)

  • Richard Silver, National Institute of Standards and Technology (United States)

  • Eric Solecky, IBM Corporation (United States)

  • Costas J. Spanos, University of California, Berkeley (United States)

  • Alexander Starikov, I&I Consulting (United States)

  • Vladimir A. Ukraintsev, Nanometrology International, Inc. (United States)

  • Alok Vaid, GLOBALFOUNDRIES Inc. (United States)

Session Chairs

  • 1 Keynote Session

    Martha I. Sanchez, IBM Almaden Research Center (United States)

  • 2 Hybrid and Virtual Metrology Techniques

    John C. Robinson, KLA-Tencor Corporation (United States)

    Matthew J. Sendelbach, Nova Measuring Instruments Inc. (United States)

  • 3 Metrology of 3D Structures

    Masafumi Asano, Toshiba Corporation (Japan)

    Alok Vaid, GLOBALFOUNDRIES Inc. (United States)

  • 4 SEM Simulation and Emulation I: Joint Session with Conferences 9050 and 9051

    Shunsuke Koshihara, Hitachi High-Technologies Corporation (Japan)

    Thomas I. Wallow, ASML US, Inc. (United States)

  • 5 SEM Simulation and Emulation II: Joint Session with Conferences 9050 and 9051

    Benjamin D. Bunday, SEMATECH Inc. (United States)

    Clifford L. Henderson, Georgia Institute of Technology (United States)

  • 6 Metrology and Inspection for Directed Self-Assembly: Joint Session with Conferences 9049 and 9050

    Martha I. Sanchez, IBM Research - Almaden (United States)

    Daniel J. C. Herr, The University of North Carolina at Greensboro (United States)

  • 7 Metrology for Process Control

    Costas J. Spanos, University of California, Berkeley (United States)

    Alexander Starikov, I&I Consulting (United States)

  • 8 SEM, AFM, and SPM

    Masafumi Asano, Toshiba Corporation (Japan)

    Shunsuke Koshihara, Hitachi High-Technologies Corporation (Japan)

  • 9 X-Ray Scattering Methods

    John A. Allgair, GLOBALFOUNDRIES Inc. (United States)

    Richard M. Silver, National Institute of Standards and Technology (United States)

  • 10 Overlay Measurement and Control: Joint Session with Conferences 9050 and 9052

    Alexander Starikov, I&I Consulting (United States)

    Pary Baluswamy, Micron Technology, Inc. (United States)

  • 11 Inspection

    Timothy F. Crimmins, Intel Corporation (United States)

    Chih-Ming Ke, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan)

  • 12 Scatterometry and Optical Methods

    Matthew J. Sendelbach, Nova Measuring Instruments Inc. (United States)

    Eric Solecky, IBM Corporation (United States)

  • 13 Reference Metrology, Accuracy, Standards

    Benjamin D. Bunday, SEMATECH Inc. (United States)

    Alexander Starikov, I&I Consulting (United States)

  • 14 Overlay

    Chih-Ming Ke, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan)

    John C. Robinson, KLA-Tencor Corporation (United States)

  • 15 Late Breaking News

    Jason P. Cain, Advanced Micro Devices, Inc. (United States)

    Ofer Adan, Applied Materials (Israel)

© (2014) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 9050", Proc. SPIE 9050, Metrology, Inspection, and Process Control for Microlithography XXVIII, 905001 (13 May 2014); https://doi.org/10.1117/12.2052784
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Metrology

Inspection

Overlay metrology

Lithium

Lithography

Scanning electron microscopy

Semiconductors

Back to Top