Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 9256, including the Title Page, Copyright information, Table of Contents, Introduction (if any), and Conference Committee listing.

The papers included in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. The papers published in these proceedings reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from this book:

Author(s), “Title of Paper,” in Photomask and Next-Generation Lithography Mask Technology XXI, edited by Kokoro Kato, Proceedings of SPIE Vol. 9256 (SPIE, Bellingham, WA, 2014) Article CID Number.

ISSN: 0277-786X

ISBN: 9781628413236

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time) · Fax +1 360 647 1445

SPIE.org

Copyright © 2014, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $18.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/14/$18.00.

Printed in the United States of America.

Publication of record for individual papers is online in the SPIE Digital Library.

00001_psisdg9256_925601_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model, with papers published first online and then in print and on CD-ROM. Papers are published as they are submitted and meet publication criteria. A unique, consistent, permanent citation identifier (CID) number is assigned to each article at the time of the first publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online, print, and electronic versions of the publication. SPIE uses a six-digit CID article numbering system in which:

  • The first four digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc.

The CID Number appears on each page of the manuscript. The complete citation is used on the first page, and an abbreviated version on subsequent pages. Numbers in the index correspond to the last two digits of the six-digit CID Number.

Conference Committees

Symposium Chair

  • Toshiyuki Horiuchi, Tokyo Denki University (Japan)

Symposium Vice-chair

  • Masato Shibuya, Tokyo Polytechnic University (Japan)

Advisory Committee Chair

  • Masanori Komuro, New Energy and Industrial Technology Development Organization (Japan)

Advisory Committee

  • Morihisa Hoga, Dai Nippon Printing Company, Ltd. (Japan)

  • Masao Otaki

  • Tadahiro Takigawa

  • Yoshio Tanaka, Luminescent Technologies, Inc. (Japan)

Organizing Committee Chair

  • Toshiyuki Horiuchi, Tokyo Denki University (Japan)

Organizing Committee Vice-chair

  • Masato Shibuya, Tokyo Polytechnic University (Japan)

Organizing Committee

  • Uwe Behringer, UBC Microelectronics (Germany)

  • Parkson Chen, Taiwan Mask Corporation (Taiwan)

  • Han-ku Cho, Samsung Electronics Company, Ltd. (Korea, Republic of)

  • Junko Collins, SEMI Japan (Japan)

  • Brian J. Grenon, Advanced Technical Instruments (United States)

  • Takehiko Gunji, Sony Corporation (Japan)

  • Hideaki Hamada, HTL Company Japan Ltd. (Japan)

  • Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • Eiichi Hoshino, Nikon Corporation (Japan)

  • Kunihiro Hosono, Renesas Electronics Corporation (Japan)

  • Kokoro Kato, Hitachi High-Tech Science Corporation (Japan)

  • Hideaki Mitsui, HOYA Corporation (Japan)

  • Warren Montgomery, CNSE (United States)

  • Ichiro Mori, EUVL Infrastructure Development Center, Inc. (Japan)

  • Hiroaki Morimoto, Toppan Printing Company, Ltd. (Japan)

  • Yoshiki Suzuki, KLA-Tencor Japan Ltd. (Japan)

  • Yoji Tonooka, Toppan Printing Company, Ltd. (Japan)

  • Koichiro Tsujita, Canon Inc. (Japan)

  • Anto Yasaka, Hitachi High-Tech Science Corporation (Japan)

Steering Committee Chair

  • Hiroaki Morimoto, Toppan Printing Company, Ltd. (Japan)

Steering Committee Vice-chairs

  • Kunihiro Hosono, Renesas Electronics Corporation (Japan)

  • Hidehiro Watanabe, EUVL Infrastructure Development Center, Inc. (Japan)

Steering Committee

  • Takayuki Abe, NuFlare Technology Inc. (Japan)

  • Akihiko Ando, Renesas Electronics Corporation (Japan)

  • Kazuko Jochi, KLA-Tencor Japan Ltd. (Japan)

  • Takashi Kamo, Toshiba Corporation Corporate Research and Development Center (Japan)

  • Kokoro Kato, Hitachi High-Tech Science Corporation (Japan)

  • Yasutaka Morikawa, Dai Nippon Printing Company, Ltd. (Japan)

  • Teruaki Noguchi, JEOL Ltd. (Japan)

  • Yasushi Ohkubo, HOYA Corporation (Japan)

  • Tomoyuki Okada, Fujitsu Semiconductor Ltd. (Japan)

  • Kiwamu Takehisa, Lasertec Corporation (Japan)

  • Hiroyoshi Tanabe, Intel K.K. (Japan)

  • Nobuyuki Yoshioka, Dai Nippon Printing Company, Ltd. (Japan)

Program Committee Chair

  • Kokoro Kato, Hitachi High-Tech Science Corporation (Japan)

Program Committee Vice-chairs

  • Akihiko Ando, Renesas Electronics Corporation (Japan)

  • Nobuyuki Yoshioka, Dai Nippon Printing Company, Ltd. (Japan)

Program Committee

  • Tsukasa Abe, Dai Nippon Printing Company, Ltd. (Japan)

  • Jeff Farnsworth, Intel Corporation Technology and Manufacturing Group (United States)

  • Thomas B. Faure, IBM Corporation (United States)

  • Kazuyuki Hagiwara, D2S, K.K. (Japan)

  • Shigeru Hirukawa, Nikon Corporation (Japan)

  • Koji Hosono, Fujitsu Semiconductor Ltd. (Japan)

  • Hidemichi Imai, Dai Nippon Printing Company, Ltd. (Japan)

  • Ichiro Kagami, Sony Semiconductor Corporation (Japan)

  • Franklin Kalk, Toppan Photomasks, Inc. (United States)

  • Byung-Gook Kim, Samsung Electronics Company, Ltd. (Korea, Republic of)

  • Yutaka Kodera, Toppan Printing Company, Ltd. (Japan)

  • Jun Kotani, Toppan Printing Company, Ltd. (Japan)

  • John Lin, Taiwan Semiconductor Manufacturing Company (Taiwan)

  • Mark Ma, Photronics, Inc. (United States)

  • Junji Miyazaki, ASML Japan Company, Ltd. (Japan)

  • Koji Murano, TOSHIBA Corporation (Japan)

  • Yoshinori Nagaoka, KLA-Tencor Japan Ltd. (Japan)

  • Yasutoshi Nakagawa, JEOL Ltd. (Japan)

  • Noriaki Nakayamada, NuFlare Technology Inc. (Japan)

  • Naoki Nishida, HOYA Corporation (Japan)

  • Yuji Nonami, Panasonic Corporation (Japan)

  • Steffen Schulze, Mentor Graphics Corporation (United States)

  • Yasunari Sohda, Hitachi, Ltd. (Japan)

  • Osamu Suga, EUVL Infrastructure Development Center, Inc. (Japan)

  • Yasuko Tabata, TowerJazz Panasonic Semiconductor, Ltd. (Japan)

  • Yoji Takagi, Applied Materials Japan, Inc. (Japan)

  • Kiwamu Takehisa, Lasertec Corporation (Japan)

  • Richard Tseng, Taiwan Mask Corporation (Taiwan)

  • Yoichi Usui, HOYA Corporation (Japan)

  • Nobuhiko Yabu, Canon Inc. (Japan)

  • Tetsuya Yamamoto, KLA-Tencor Corporation (United States)

Session Chairs

  • 1 Opening Session

    Kokoro Kato, Hitachi High-Tech Science Corporation (Japan)

  • 2 Inspection and Cleaning

    Akihiko Ando, Renesas Electronics Corporation (Japan)

    Hidemichi Imai, Dai Nippon Printing Company, Ltd. (Japan)

  • 3 NIL

    Jun Kotani, Toppan Printing Company, Ltd. (Japan)

  • 4 Writing Technologies

    Uwe Behringer, UBC Microelectronics (Germany)

    Noriaki Nakayamada, NuFlare Technology, Inc. (Japan)

  • 5 Lithography Related Technologies

    Nobuyuki Yoshioka, Dai Nippon Printing Company, Ltd. (Japan)

  • 6 FPD Masks

    Ichiro Kagami, Sony Semiconductor Corporation (Japan)

    Nobuhiko Yabu, Canon Inc. (Japan)

  • 8 Invited Session

    Thomas Faure, IBM Corporation (United States)

    Yoshinori Nagaoka, KLA-Tencor Japan Ltd. (Japan)

  • 9 Metrology

    Thomas Faure, IBM Corporation (USA)

    Yoshinori Nagaoka, KLA-Tencor Japan Ltd. (Japan)

  • 10 EUVL Masks I

    Natalia Davydova, ASML Netherlands B. V. (The Netherlands)

    Tsukasa Abe, Dai Nippon Printing Company, Ltd. (Japan)

  • 11 EUVL Masks II

    Jeff Farnsworth, Intel Corporation (United States)

    Koji Murano, Toshiba Corporation (Japan)

  • 12 EUVL Masks III

    Byung-Gook Kim, Samsung Electronics (Korea, Republic of)

    Yutaka Kodera, Toppan Printing Company, Ltd. (Japan)

© (2014) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 9256", Proc. SPIE 9256, Photomask and Next-Generation Lithography Mask Technology XXI, 925601 (28 July 2014); https://doi.org/10.1117/12.2074854
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Printing

Extreme ultraviolet lithography

Cobalt

Extreme ultraviolet

Inspection

Semiconductors

Back to Top