Paper
16 March 2015 Evaluating printability of buried native EUV mask phase defects through a modeling and simulation approach
Author Affiliations +
Abstract
The availability of defect-free masks is considered to be a critical issue for enabling extreme ultraviolet lithography (EUVL) as the next generation technology. Since completely defect-free masks will be hard to achieve, it is essential to have a good understanding of the printability of the native EUV mask defects. In this work, we performed a systematic study of native mask defects to understand the defect printability caused by them. The multilayer growth over native substrate mask blank defects was correlated to the multilayer growth over regular-shaped defects having similar profiles in terms of their width and height. To model the multilayer growth over the defects, a novel level-set multilayer growth model was used that took into account the tool deposition conditions of the Veeco Nexus ion beam deposition tool. The same tool was used for performing the actual deposition of the multilayer stack over the characterized native defects, thus ensuring a fair comparison between the actual multilayer growth over native defects, and modeled multilayer growth over regular-shaped defects. Further, the printability of the characterized native defects was studied with the SEMATECH-Berkeley Actinic Inspection Tool (AIT), an EUV mask-imaging microscope at Lawrence Berkeley National Laboratory (LBNL). Printability of the modeled regular-shaped defects, which were propagated up the multilayer stack using level-set growth model was studied using defect printability simulations implementing the waveguide algorithm. Good comparison was observed between AIT and the simulation results, thus demonstrating that multilayer growth over a defect is primarily a function of a defect’s width and height, irrespective of its shape. This would allow us to predict printability of the arbitrarily-shaped native EUV mask defects in a systematic and robust manner.
© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Mihir Upadhyaya, Vibhu Jindal, Adarsh Basavalingappa, Henry Herbol, Jenah Harris-Jones, Il-Yong Jang, Kenneth A. Goldberg, Iacopo Mochi, Sajan Marokkey, Wolfgang Demmerle, Thomas V. Pistor, and Gregory Denbeaux "Evaluating printability of buried native EUV mask phase defects through a modeling and simulation approach", Proc. SPIE 9422, Extreme Ultraviolet (EUV) Lithography VI, 94220Q (16 March 2015); https://doi.org/10.1117/12.2175842
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet

Multilayers

Chemical species

Extreme ultraviolet lithography

Inspection

Monte Carlo methods

Back to Top