Paper
20 March 2015 Process variation challenges and resolution in the negative-tone develop double patterning for 20nm and below technology node
Sohan S Mehta, Lakshmi K Ganta, Vikrant Chauhan, Yixu Wu, Sunil Singh, Chia Ann, Lokesh Subramany, Craig Higgins, Burcin Erenturk, Ravi Srivastava, Paramjit Singh, Hui Peng Koh, David Cho
Author Affiliations +
Abstract
Immersion based 20nm technology node and below becoming very challenging to chip designers, process and integration due to multiple patterning to integrate one design layer . Negative tone development (NTD) processes have been well accepted by industry experts for enabling technologies 20 nm and below. 193i double patterning is the technology solution for pitch down to 80 nm. This imposes tight control in critical dimension(CD) variation in double patterning where design patterns are decomposed in two different masks such as in litho-etch-litho etch (LELE). CD bimodality has been widely studied in LELE double patterning. A portion of CD tolerance budget is significantly consumed by variations in CD in double patterning.

The objective of this work is to study the process variation challenges and resolution in the Negative Tone Develop Process for 20 nm and Below Technology Node. This paper describes the effect of dose slope on CD variation in negative tone develop LELE process. This effect becomes even more challenging with standalone NTD developer process due to q-time driven CD variation. We studied impact of different stacks with combination of binary and attenuated phase shift mask and estimated dose slope contribution individually from stack and mask type. Mask 3D simulation was carried out to understand theoretical aspect. In order to meet the minimum insulator requirement for the worst case on wafer the overlay and critical dimension uniformity (CDU) budget margins have slimmed. Besides the litho process and tool control using enhanced metrology feedback, the variation control has other dependencies too. Color balancing between the two masks in LELE is helpful in countering effects such as iso-dense bias, and pattern shifting. Dummy insertion and the improved decomposition techniques [2] using multiple lower priority constraints can help to a great extent. Innovative color aware routing techniques [3] can also help with achieving more uniform density and color balanced layouts.
© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Sohan S Mehta, Lakshmi K Ganta, Vikrant Chauhan, Yixu Wu, Sunil Singh, Chia Ann, Lokesh Subramany, Craig Higgins, Burcin Erenturk, Ravi Srivastava, Paramjit Singh, Hui Peng Koh, and David Cho "Process variation challenges and resolution in the negative-tone develop double patterning for 20nm and below technology node", Proc. SPIE 9425, Advances in Patterning Materials and Processes XXXII, 94250B (20 March 2015); https://doi.org/10.1117/12.2087546
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Critical dimension metrology

Binary data

Double patterning technology

Etching

Photomasks

Photoresist processing

Nanoimprint lithography

RELATED CONTENT

Patterning process study for 30nm hole
Proceedings of SPIE (April 15 2011)
Double patterning process with freezing technique
Proceedings of SPIE (April 01 2009)
AttPSM CD control: mask bias and flare effects
Proceedings of SPIE (July 30 2002)
Double patterning down to k1=0.15 with bilayer resist
Proceedings of SPIE (March 07 2008)

Back to Top