Paper
23 October 2015 Novel CD control of HTPSM by advanced process for sub-20nm tech
Author Affiliations +
Abstract
As the design rule of the semiconductor shrinks, the CD MTT (Critical Dimension Mean-to-Target) specification for photomask becomes tighter. So, more precise control of CD MTT is required. We have investigated the CD MTT control and applied it to the attenuated PSM (Phase Shift Mask) successfully for several years. We can control the CD MTT of MoSi pattern by measuring Cr/MoSi pattern to estimate MoSi pattern CD and additional etch to shrink MoSi pattern as reported in previous study. At first, the MoSi pattern CD can be estimated with the Cr/MoSi pattern CD because the CD gap between MoSi pattern and Cr/MoSi pattern is relatively constant. Additional MoSi etch is performed to shrink the MoSi pattern CD after then. The CD gap alwasys exists and the variation of the CD gap is enough small to be not considered in conventional photomask production until now. However, the variation of the CD gap is not ignorable in case of sub-20 nm tech.

In this study, we investigated new method to measure MoSi pattern CD before Cr strip process to eliminate the CD gap between MoSi pattern and Cr/MoSi pattern. To eliminate the CD gap, we attempt three solutions – 1) Optimize etch process to perform perfect Cr/MoSi pattern profile without the CD gap, 2) Improve CD measurement accuracy by developing new SEM measuring mechanism, 3) Develop of new process to modify Cr/MoSi pattern profile to be measured without the CD gap. It was found that the CD gap can be eliminated and MoSi pattern CD can be measured perfectly. Finally, MoSi pattern CD control was improved because of CD gap elimination.
© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Sangjin Jo, Chungseon Choi, Sunghyun Oh, Taejoong Ha, Youngmo Lee, Sangpyo Kim, and Donggyu Yim "Novel CD control of HTPSM by advanced process for sub-20nm tech", Proc. SPIE 9635, Photomask Technology 2015, 96351T (23 October 2015); https://doi.org/10.1117/12.2196938
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Critical dimension metrology

Etching

Chromium

Scanning electron microscopy

Photomasks

Transmission electron microscopy

Double patterning technology

Back to Top