Paper
19 March 2016 EUV mask and wafer defectivity: strategy and evaluation for full die defect inspection
Ravi Bonam, Hung-Yu Tien, Acer Chou, Luciana Meli, Scott Halle, Ivy Wu, Xiaoxia Huang, Chris Lei, Chiyan Kuan, Fei Wang, Daniel Corliss, Wei Fang, Jack Jau, Zhengqing John Qi, Karen Badger, Christina Turley, Jed Rankin
Author Affiliations +
Abstract
Over the past few years numerous advancements in EUV Lithography have proven its feasibility of insertion into High Volume Manufacturing (HVM).1, 2 A lot of progress is made in the area of pellicle development but a commercially solution with related infrastructure is currently unavailable.3, 4 Due to current mask structure and unavailability of a pellicle, a comprehensive strategy to qualify (native defects) and monitor (adder defects) defectivity on mask and wafer is required for implementing EUV Lithography in High Volume Manufacturing. In this work, we assess multiple strategies for mask and wafer defect inspection including a two-fold solution to leverage resolution of e-beam inspection along with throughput of optical inspection are evaluated. Defect capture rates for inspections based on full-die, critical areas based on priority and hotspots based on design and prior inspection data are evaluated. Each strategy has merits and de-merits, particularly related to throughput, effective die coverage and computational overhead. A production ready EUV Exposure tool was utilized to perform exposures at the IBM EUV Center of Excellence in Albany, NY for EUV Lithography Development along with a fully automated line of EUV Mask Infrastructure tools. We will present strategies considered in this study and discuss respective results. The results from the study indicate very low transfer rate of defect detection events from optical mask inspection. They also suggest a hybrid strategy of utilizing both optical and e-beam inspection can provide a comprehensive defect detection which can be employed in High Volume Manufacturing.
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Ravi Bonam, Hung-Yu Tien, Acer Chou, Luciana Meli, Scott Halle, Ivy Wu, Xiaoxia Huang, Chris Lei, Chiyan Kuan, Fei Wang, Daniel Corliss, Wei Fang, Jack Jau, Zhengqing John Qi, Karen Badger, Christina Turley, and Jed Rankin "EUV mask and wafer defectivity: strategy and evaluation for full die defect inspection", Proc. SPIE 9776, Extreme Ultraviolet (EUV) Lithography VII, 97761C (19 March 2016); https://doi.org/10.1117/12.2219601
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications and 2 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Inspection

Semiconducting wafers

Defect detection

Extreme ultraviolet lithography

Extreme ultraviolet

Wafer inspection

Back to Top