Paper
24 March 2016 Highly sensitive focus monitoring technique based on illumination and target co-optimization
Author Affiliations +
Abstract
We present a cost-effective focus monitoring technique based on the illumination and the target co-optimization. An advanced immersion scanner can provide the freeform illumination that enables the use of any kind of custom source shape by using a programmable array of thousands of individually adjustable micro-mirrors. Therefore, one can produce non-telecentricity using the asymmetric illumination in the scanner with the optimized focus target on the cost-effective binary OMOG mask. Then, the scanner focus variations directly translate into easily measurable overlay shifts in the printed pattern with high sensitivity (ΔShift/Δfocus = 60nm/100nm). In addition, the capability of using the freeform illumination allows us to computationally co-optimize the source and the focus target, simultaneously, generating not only vertical or horizontal shifts, but also introducing diagonal pattern shifts. The focus-induced pattern shifts can be accurately measured by standard wafer metrology tools such as CD-SEM and overlay metrology tools.
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Myungjun Lee, Mark D. Smith, Pradeep Subrahmanyan, and Ady Levy "Highly sensitive focus monitoring technique based on illumination and target co-optimization", Proc. SPIE 9778, Metrology, Inspection, and Process Control for Microlithography XXX, 977826 (24 March 2016); https://doi.org/10.1117/12.2218647
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication and 1 patent.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Diffraction

Binary data

Metrology

Personal protective equipment

Semiconducting wafers

Ions

Back to Top