Paper
16 March 2016 Variability-aware compact modeling and statistical circuit validation on SRAM test array
Ying Qiao, Costas J. Spanos
Author Affiliations +
Abstract
Variability modeling at the compact transistor model level can enable statistically optimized designs in view of limitations imposed by the fabrication technology. In this work we propose a variability-aware compact model characterization methodology based on stepwise parameter selection. Transistor I-V measurements are obtained from bit transistor accessible SRAM test array fabricated using a collaborating foundry’s 28nm FDSOI technology. Our in-house customized Monte Carlo simulation bench can incorporate these statistical compact models; and simulation results on SRAM writability performance are very close to measurements in distribution estimation. Our proposed statistical compact model parameter extraction methodology also has the potential of predicting non-Gaussian behavior in statistical circuit performances through mixtures of Gaussian distributions.
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Ying Qiao and Costas J. Spanos "Variability-aware compact modeling and statistical circuit validation on SRAM test array", Proc. SPIE 9781, Design-Process-Technology Co-optimization for Manufacturability X, 97810D (16 March 2016); https://doi.org/10.1117/12.2219428
Lens.org Logo
CITATIONS
Cited by 5 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Statistical modeling

Data modeling

Transistors

Statistical analysis

Computer aided design

Monte Carlo methods

Device simulation

Back to Top