Paper
1 April 2016 Self-aligned quadruple patterning integration using spacer on spacer pitch splitting at the resist level for sub-32nm pitch applications
Angélique Raley, Sophie Thibaut, Nihar Mohanty, Kal Subhadeep, Satoru Nakamura, Akiteru Ko, David O'Meara, Kandabara Tapily, Steve Consiglio, Peter Biolsi
Author Affiliations +
Abstract
Multiple patterning integrations for sub 193nm lithographic resolution are becoming increasingly creative in pursuit of cost reduction and achieving desired critical dimension. Implementing these schemes into production can be a challenge. Aimed at reducing cost associated with multiple patterning for the 10nm node and beyond, we will present a self-aligned quadruple patterning strategy which uses 193nm immersion lithography resist pattern as a first mandrel and a spacer on spacer integration to enable a final pitch of 30nm. This option could be implemented for front end or back end critical layers such as Fin and Mx. Investigation of combinations of low temperature ALD films such as TiO, Al2O3 and SiO2 will be reviewed to determine the best candidates to meet the required selectivities, LER/LWR and CDs.
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Angélique Raley, Sophie Thibaut, Nihar Mohanty, Kal Subhadeep, Satoru Nakamura, Akiteru Ko, David O'Meara, Kandabara Tapily, Steve Consiglio, and Peter Biolsi "Self-aligned quadruple patterning integration using spacer on spacer pitch splitting at the resist level for sub-32nm pitch applications", Proc. SPIE 9782, Advanced Etch Technology for Nanopatterning V, 97820F (1 April 2016); https://doi.org/10.1117/12.2219321
Lens.org Logo
CITATIONS
Cited by 12 scholarly publications and 4 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Optical lithography

Silicon

Plasma

Silica

Atomic layer deposition

Line width roughness

Back to Top