Paper
10 May 2016 eBeam community speaks out on future directions for photomask manufacturing
Author Affiliations +
Abstract
As has been the case for many years and for the near future down to the 7-nm logic node, electron-beam (eBeam) mask writing is pushing the limits of acceptable write times and quality. The eBeam community has responded to these challenges through innovation, engineering and collaboration. In 2009, the eBeam Initiative was launched to provide a stronger voice and educational platform for eBeam technology within the photomask and semiconductor design and manufacturing ecosystem. For the fourth consecutive year, as the photomask community heads to the annual SPIE/BACUS Photomask Symposium in Monterey, the eBeam Initiative has conducted a survey on photomask-related trends and perceptions. This annual perceptions survey has been instrumental in confirming key areas to align the Initiative’s efforts on to guide the industry ecosystem forward in supporting the introduction of new eBeam technologies. At the request of the community, an additional mask survey was conducted this year by the eBeam Initiative to include trends on key mask metrics that help serve as a barometer for mask makers. The complete results of both surveys are available for download at www.ebeam.org. Below is a summary and analysis of the key findings.
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Aki Fujimura and Jan Willis "eBeam community speaks out on future directions for photomask manufacturing", Proc. SPIE 9984, Photomask Japan 2016: XXIII Symposium on Photomask and Next-Generation Lithography Mask Technology, 99840B (10 May 2016); https://doi.org/10.1117/12.2239877
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet

Manufacturing

Modulation

Ecosystems

Logic

Nanoimprint lithography

RELATED CONTENT

Market prospects for multibeam mask writers
Proceedings of SPIE (January 01 1900)
State of the art EUV mask process development by the...
Proceedings of SPIE (January 01 1900)
Design intent optimization at the beyond 7nm node ...
Proceedings of SPIE (March 30 2017)
Design for e beam design insights for direct write...
Proceedings of SPIE (September 24 2010)

Back to Top